Thanks to visit codestin.com
Credit goes to github.com

Skip to content

Commit 73d56ce

Browse files
committed
Update package badges.
1 parent b7f41c1 commit 73d56ce

File tree

1 file changed

+10
-3
lines changed

1 file changed

+10
-3
lines changed

index.md

Lines changed: 10 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -109,16 +109,23 @@ This project provides packages for:
109109
* [Project Apicula upstream repository][apicula];
110110
* [package repository][nextpnr-pkg];
111111
* PyPI packages: [<img src="https://img.shields.io/pypi/v/yowasp-nextpnr-ice40?label=yowasp-nextpnr-ice40&color=green" alt="yowasp-nextpnr-ice40" class="badge">](https://pypi.org/project/yowasp-nextpnr-ice40/), [<img src="https://img.shields.io/pypi/v/yowasp-nextpnr-ecp5?label=yowasp-nextpnr-ecp5&color=green" alt="yowasp-nextpnr-ecp5" class="badge">](https://pypi.org/project/yowasp-nextpnr-ecp5/), [<img src="https://img.shields.io/pypi/v/yowasp-nextpnr-machxo2?label=yowasp-nextpnr-machxo2&color=green" alt="yowasp-nextpnr-machxo2" class="badge">](https://pypi.org/project/yowasp-nextpnr-machxo2/), [<img src="https://img.shields.io/pypi/v/yowasp-nextpnr-nexus?label=yowasp-nextpnr-nexus&color=green" alt="yowasp-nextpnr-nexus" class="badge">](https://pypi.org/project/yowasp-nextpnr-nexus/), [<img src="https://img.shields.io/pypi/v/yowasp-nextpnr-gowin?label=yowasp-nextpnr-gowin&color=green" alt="yowasp-nextpnr-gowin" class="badge">](https://pypi.org/project/yowasp-nextpnr-gowin/).
112+
* NPM packages: , [<img src="https://img.shields.io/npm/v/@yowasp/nextpnr-ice40?label=@yowasp/nextpnr-ice40&color=green" alt="@yowasp/nextpnr-ice40" class="badge">](https://npmjs.com/package/@yowasp/nextpnr-ice40), [<img src="https://img.shields.io/npm/v/@yowasp/nextpnr-ecp5?label=@yowasp/nextpnr-ecp5&color=green" alt="@yowasp/nextpnr-ecp5" class="badge">](https://npmjs.com/package/@yowasp/nextpnr-ecp5), [<img src="https://img.shields.io/npm/v/@yowasp/nextpnr-machxo2?label=@yowasp/nextpnr-machxo2&color=green" alt="@yowasp/nextpnr-machxo2" class="badge">](https://npmjs.com/package/@yowasp/nextpnr-machxo2), [<img src="https://img.shields.io/npm/v/@yowasp/nextpnr-nexus?label=@yowasp/nextpnr-nexus&color=green" alt="@yowasp/nextpnr-nexus" class="badge">](https://npmjs.com/package/@yowasp/nextpnr-nexus).
113+
* openFPGALoader:
114+
* [upstream repository][openFPGALoader];
115+
* [package repository][openFPGALoader-pkg];
116+
* NPM packages: [<img src="https://img.shields.io/npm/v/@yowasp/openfpgaloader?label=@yowasp/openfpgaloader&color=green" alt="@yowasp/openfpgaloader" class="badge">](https://npmjs.com/package/@yowasp/openfpgaloader).
112117

113118
[yosys]: https://yosyshq.net/yosys/
114119
[nextpnr]: https://github.com/YosysHQ/nextpnr/
115120
[icestorm]: https://github.com/YosysHQ/icestorm/
116121
[trellis]: https://github.com/YosysHQ/prjtrellis/
117122
[oxide]: https://github.com/gatecat/prjoxide
118123
[apicula]: https://github.com/YosysHQ/apicula
124+
[openFPGALoader]: https://github.com/trabucayre/openFPGALoader
119125

120126
[yosys-pkg]: https://github.com/YoWASP/yosys
121127
[nextpnr-pkg]: https://github.com/YoWASP/nextpnr
128+
[openFPGALoader-pkg]: https://github.com/YoWASP/openFPGALoader-web
122129

123130
## Which platforms are supported?
124131

@@ -195,19 +202,19 @@ ICEPACK=yowasp-icepack
195202

196203
### ... in a browser?
197204

198-
These instructions assume the use of [ES Modules][esm], and make use of the [unpkg][] content delivery network. It is also possible to distribute the YoWASP binaries by adding the relevant `@yowasp/*` NPM package as a dependency using a bundler such as [webpack](https://webpack.js.org/).
205+
These instructions assume the use of [ES Modules][esm], and make use of the [jsDelivr][] content delivery network. It is also possible to distribute the YoWASP binaries by adding the relevant `@yowasp/*` NPM package as a dependency using a bundler such as [webpack](https://webpack.js.org/).
199206

200207
To use Yosys, import the [@yowasp/yosys][] NPM package from the CDN and call its `runYosys` entry point:
201208

202209
```js
203-
import { runYosys } from 'https://unpkg.com/@yowasp/yosys/gen/bundle-browser.js';
210+
import { runYosys } from 'https://cdn.jsdelivr.net/npm/@yowasp/yosys/gen/bundle-browser.js';
204211
await runYosys(["--version"]);
205212
```
206213

207214
The [JavaScript runtime README][runtime-js-README] describes how to transfer files to and from the application.
208215

209216
[esm]: https://hacks.mozilla.org/2018/03/es-modules-a-cartoon-deep-dive/
210-
[unpkg]: https://unpkg.com/
217+
[jsDelivr]: https://www.jsdelivr.com/
211218
[@yowasp/yosys]: https://www.npmjs.com/package/@yowasp/yosys
212219
[runtime-js-README]: https://github.com/YoWASP/runtime-js#readme
213220

0 commit comments

Comments
 (0)