|
| 1 | +// DESCRIPTION: Verilator: Verilog Test module |
| 2 | +// |
| 3 | +// This file ONLY is placed under the Creative Commons Public Domain, for |
| 4 | +// any use, without warranty, 2024 by Wilson Snyder. |
| 5 | +// SPDX-License-Identifier: CC0-1.0 |
| 6 | + |
| 7 | +module t (/*AUTOARG*/ |
| 8 | + // Inputs |
| 9 | + clk |
| 10 | + ); |
| 11 | + |
| 12 | + input clk; |
| 13 | + |
| 14 | + int cyc, bump, result; |
| 15 | + logic foo; |
| 16 | + initial begin |
| 17 | + cyc = 0; |
| 18 | + foo = '1; |
| 19 | + end |
| 20 | + |
| 21 | + |
| 22 | + always @(posedge clk) begin |
| 23 | + if (($time != 0) && foo) bump <= bump + 1; |
| 24 | + if (($realtime != 0) && foo) bump <= bump + 1; |
| 25 | + if (($stime != 0) && foo) bump <= bump + 1; |
| 26 | + if (($bitstoreal(123) != 0) && foo) bump <= bump + 1; |
| 27 | + if (($itor(123) != 0) && foo) bump <= bump + 1; |
| 28 | + if (($signed(3) != 0) && foo) bump <= bump + 1; |
| 29 | + if (($realtobits(1.23) != 0) && foo) bump <= bump + 1; |
| 30 | + if (($rtoi(1.23) != 0) && foo) bump <= bump + 1; |
| 31 | + if (($unsigned(-3) != 0) && foo) bump <= bump + 1; |
| 32 | + if (($clog2(123) != 0) && foo) bump <= bump + 1; |
| 33 | + if (($ln(123) != 0) && foo) bump <= bump + 1; |
| 34 | + if (($log10(123) != 0) && foo) bump <= bump + 1; |
| 35 | + if (($exp(123) != 0) && foo) bump <= bump + 1; |
| 36 | + if (($sqrt(123) != 0) && foo) bump <= bump + 1; |
| 37 | + if (($pow(123, 2) != 0) && foo) bump <= bump + 1; |
| 38 | + if (($floor(1.23) != 0) && foo) bump <= bump + 1; |
| 39 | + if (($ceil(1.23) != 0) && foo) bump <= bump + 1; |
| 40 | + if (($sin(123) != 0) && foo) bump <= bump + 1; |
| 41 | + if (($cos(123) != 0) && foo) bump <= bump + 1; |
| 42 | + if (($tan(123) != 0) && foo) bump <= bump + 1; |
| 43 | + if (($asin(123) != 0) && foo) bump <= bump + 1; |
| 44 | + if (($acos(123) != 0) && foo) bump <= bump + 1; |
| 45 | + if (($atan(123) != 0) && foo) bump <= bump + 1; |
| 46 | + if (($atan2(123, 2) != 0) && foo) bump <= bump + 1; |
| 47 | + if (($hypot(123, 2) != 0) && foo) bump <= bump + 1; |
| 48 | + if (($sinh(123) != 0) && foo) bump <= bump + 1; |
| 49 | + if (($cosh(123) != 0) && foo) bump <= bump + 1; |
| 50 | + if (($tanh(123) != 0) && foo) bump <= bump + 1; |
| 51 | + if (($asinh(123) != 0) && foo) bump <= bump + 1; |
| 52 | + if (($acosh(123) != 0) && foo) bump <= bump + 1; |
| 53 | + if (($atanh(123) != 0) && foo) bump <= bump + 1; |
| 54 | + if (($countbits(123, 2) != 0) && foo) bump <= bump + 1; |
| 55 | + if (($onehot(123) != 0) && foo) bump <= bump + 1; |
| 56 | + if ($isunknown(foo) && foo) bump <= bump + 1; |
| 57 | + if (($countones(123) != 0) && foo) bump <= bump + 1; |
| 58 | + if (($onehot0(123) != 0) && foo) bump <= bump + 1; |
| 59 | + if (($sampled(foo) != 0) && foo) bump <= bump + 1; |
| 60 | + if (($fell(foo) != 0) && foo) bump <= bump + 1; |
| 61 | + if (($changed(foo) != 0) && foo) bump <= bump + 1; |
| 62 | + if (($rose(foo) != 0) && foo) bump <= bump + 1; |
| 63 | + if (($stable(foo) != 0) && foo) bump <= bump + 1; |
| 64 | + if (($past(foo) != 0) && foo) bump <= bump + 1; |
| 65 | + if (($random != 0) && foo) bump <= bump + 1; |
| 66 | + if (($dist_erlang(result, 2, 3) != 0) && foo) bump <= bump + 1; |
| 67 | + if (($dist_normal(result, 2, 3) != 0) && foo) bump <= bump + 1; |
| 68 | + if (($dist_t(result, 2) != 0) && foo) bump <= bump + 1; |
| 69 | + if (($dist_chi_square(result, 2) != 0) && foo) bump <= bump + 1; |
| 70 | + if (($dist_exponential(result, 2) != 0) && foo) bump <= bump + 1; |
| 71 | + if (($dist_poisson(result, 2) != 0) && foo) bump <= bump + 1; |
| 72 | + if (($dist_uniform(result, 2, 3) != 0) && foo) bump <= bump + 1; |
| 73 | + if (($sformatf("abc") != "abc") && foo) bump <= bump + 1; |
| 74 | + if (foo && foo) bump <= bump + 1; |
| 75 | + cyc <= cyc + 1; |
| 76 | + if (cyc==9) begin |
| 77 | + $write("*-* All Finished *-*\n"); |
| 78 | + $finish; |
| 79 | + end |
| 80 | + end |
| 81 | +endmodule |
0 commit comments