Beyond Si-Based CMOS Devices
Beyond Si-Based CMOS Devices
Sangeeta Singh
Shashi Kant Sharma
Durgesh Nandan Editors
Beyond
Si-Based
CMOS Devices
Materials to Architecture
Springer Tracts in Electrical and Electronics
Engineering
Series Editors
Brajesh Kumar Kaushik, Department of Electronics and Communication
Engineering, Indian Institute of Technology Roorkee, Roorkee, Uttarakhand, India
Mohan Lal Kolhe, Faculty of Engineering and Sciences, University of Agder,
Kristiansand, Norway
Springer Tracts in Electrical and Electronics Engineering (STEEE) publishes the
latest developments in Electrical and Electronics Engineering - quickly, informally
and with high quality. The intent is to cover all the main branches of electrical and
electronics engineering, both theoretical and applied, including:
. Signal, Speech and Image Processing
. Speech and Audio Processing
. Image Processing
. Human-Machine Interfaces
. Digital and Analog Signal Processing
. Microwaves, RF Engineering and Optical Communications
. Electronics and Microelectronics, Instrumentation
. Electronic Circuits and Systems
. Embedded Systems
. Electronics Design and Verification
. Cyber-Physical Systems
. Electrical Power Engineering
. Power Electronics
. Photovoltaics
. Energy Grids and Networks
. Electrical Machines
. Control, Robotics, Automation
. Robotic Engineering
. Mechatronics
. Control and Systems Theory
. Automation
. Communications Engineering, Networks
. Wireless and Mobile Communication
. Internet of Things
. Computer Networks
Within the scope of the series are monographs, professional books or graduate text-
books, edited volumes as well as outstanding PhD theses and books purposely
devoted to support education in electrical and electronics engineering at graduate
and post-graduate levels.
Review Process
The proposal for each volume is reviewed by the main editor and/or the advisory
board. The books of this series are reviewed in a single blind peer review process.
Ethics Statement for this series can be found in the Springer standard guidelines
here https://www.springer.com/us/authors-editors/journal-author/journal-author-hel
pdesk/before-you-start/before-you-start/1330#c14214
Sangeeta Singh · Shashi Kant Sharma ·
Durgesh Nandan
Editors
Durgesh Nandan
School of Computer Science and Artificial
Intelligence
SR University
Warangal, Telangana, India
© The Editor(s) (if applicable) and The Author(s), under exclusive license to Springer Nature
Singapore Pte Ltd. 2024
This work is subject to copyright. All rights are solely and exclusively licensed by the Publisher, whether
the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse
of illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and
transmission or information storage and retrieval, electronic adaptation, computer software, or by similar
or dissimilar methodology now known or hereafter developed.
The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication
does not imply, even in the absence of a specific statement, that such names are exempt from the relevant
protective laws and regulations and therefore free for general use.
The publisher, the authors and the editors are safe to assume that the advice and information in this book
are believed to be true and accurate at the date of publication. Neither the publisher nor the authors or
the editors give a warranty, expressed or implied, with respect to the material contained herein or for any
errors or omissions that may have been made. The publisher remains neutral with regard to jurisdictional
claims in published maps and institutional affiliations.
This Springer imprint is published by the registered company Springer Nature Singapore Pte Ltd.
The registered company address is: 152 Beach Road, #21-01/04 Gateway East, Singapore 189721,
Singapore
v
vi Preface
ix
x Contents
xi
xii Editors and Contributors
Ph.D. degree from the Jaypee University of Engineering and Technology, India, in
2018. He is a session chair, TPC, and reviewer for more than 100 reputed national
and international conference proceedings. He is the author or co-author of more
than 150 research papers, which were published in SCI, Scopus, and peer-reviewed
international journals and conference proceedings. His research interests extend to
several areas, like computer arithmetic, VLSI architecture for signal processing appli-
cations, speech processing, the hardware architecture of real-time big data and AI
applications, and the Internet of Things.
Contributors
A. Rai (B)
Department of Electronic and Communications, Lloyd Institute of Engineering and Technology,
Greater Noida, India
e-mail: [email protected]
D. Gupta · H. Mishra
Embedded System Engineer, Intozi Tech Pvt Ltd, Gurugram, India
D. Nandan
School of Computer Science & Artificial Intelligence, SR University, Warangal 506371, India
S. Qamar
Computer Science and Engineering, College of Sciences and Arts, King Khalid University,
Dhahran Al Janoub Campus, 64261 Abha, Kingdom of Saudi Arabia
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 3
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_1
4 A. Rai et al.
In 1965, Gordon Moore one of the co-founders of Intel Corporation predicted that
the number of transistor count in the Integrated Circuits would be doubled in every
two years (Moore’s Law). He also noted that shrinking or scaling down the transistor
would probably make it to operate at higher speed for same power and unit area. This
perhaps was formalized by Robert Dennard as the operating device voltage would
reduce and the switching frequencies would increase tremendously due to scaling
down of transistors (Dennard’s Law). These predictions severed its fulfilment over
decades and helped in understanding device behaviour. But this prediction lasted
for 40 years because the scaling down provided drastic increase in leakage, gate-
tunnelling, fall below the threshold of greater than the 60 mv/decade and lithography
limitations in convention Si-based CMOS devices (Das et al. 2021; Badaroglu 2021;
Prakash et al. 2023; Amsini et al. 2023).
In 2004, Dennard Scaling began to fail making it difficult for semiconductor
industries to face challenges because of scaling effects. This gave a way to look into
“more than Moore”, formally to go beyond current Si-based CMOS technologies
(Nguyen et al. 2016). These limitations of existing Si-based CMOS technology at
the device and system level demand a successor. There is not yet any reasonable
successor of current Si-based CMOS, but there are research going on to obtain
one in these paths (Haensch et al. 2006). The first path is to extend the current Si-
based CMOS beyond density and functionality that include high performance, low
power memories, high density, and low power supply. The second path is extending
the Si-based CMOS with an alternative material that have better performance and
reliability (Kazior 2014). The third path is to extend beyond in information processing
and computing paradigms involving several combinations of interconnects and logic
devices. The fourth path is extending it beyond in applications and functionalities
such as RF ICs, Memories, and Biosensors. Finally, the last objective is to narrow
the differences between traditional and unorthodox designs (Islam 2016) (Fig. 1.1).
Device Technologies:
The current Si-based CMOS technology is able to meet the demand of high perfor-
mance and low power but as we enter into nanotechnologies (< 100 nm), the use of
Si-based devices has lost its efficiency to provide the technical requirements. Because
of technology complexity and fabrication limitations of these Si-based devices has led
to go beyond in technologies like memory, information processing or logic devices
(Galitsis et al. 2015). It is becoming more difficult to scale Silicon CMOS further
without sacrificing performance, which is driving research into other channel tech-
nologies materials. Recent research examines the continuing difficulties in deploying
III-V/Ge CMOS fabrication technology for 7 nm and beyond, as well as the most
recent advancements in channel materials, process, and integration (Prakash et al.
2023; Hutchby et al. 2003).
1 Beyond Si-Based CMOS Devices: Needs, Opportunities, and Challenges 5
One of the challenges for the new memories is they should be compatible with
current CMOS fabrication technology and should be beyond the current SRAM
and FLASH limitations. There is a limitation of MPU interaction with memory and
scaling down does not solve these problems with Si-based devices. One solution is to
increase the memory (SRAM) density of the MPU. Similarly, the development of high
speed electrically accessible non-volatile memory is todays need to revolutionize a
particular computer architecture. This sets a need to go beyond current CMOS devices
(Carballo et al. 2014; Chan et al. 2014; Mishra et al. 2023; Khan et al. 2023).
Sustainability of CMOS logic technology has become really challenging due
to scaling. One of the simplistic approaches is to replace the Si-based MOSFETs
with a different material that has higher potential speed and mobility of the quasi-
ballistic carrier. These non-silicon materials must have a low B2B tunnelling effect,
no Fermi pin levels in the channel/gate dielectric contact, and the ability to fabricate
high-K gates, dielectric, compatibility with heterogeneous materials and also should
reduce the leakage and power dissipation. However, seeing the saturation in the
current CMOS technology the industries are shifting towards devices which has
functional diversification and are beyond “Moore’s Law” (Rai 2023; Sharma et al.
2023; Badaroglu and Xu 2016).
6 A. Rai et al.
CMOS structure is founded on completely novel material science and physics. Even
though they will probably be integrated on a Si-based Monolithic substrate. These
new materials face significant challenges before they can offer practical solutions for
upcoming integrated circuit technology (Agarwal and Yablonovitch 2015). Acceler-
ating material evaluation, improvement, and capabilities will be necessary to achieve
by the new materials. The researcher needs to be guided with new structure of mate-
rial and process for less cost effective, more reliable, advance sustainable technology
that will power future breakthroughs in engineering technology. The new integrated
material is classified based on the first materials Scaling for More Moore technology
for the purpose of different area of assembly and Packaging. Next Novel Material
for beyond CMOS for manufacturing ALU device, memory and storage of digital
data in large number required resistive-switching electronics based 2-D materials.
Lastly required highly disruptive materials for highly impacting emerging area as
such as in energy sector, healthcare units, agriculture, medical and environment, etc.
(Seabaugh and Qin 2010).
have emerged with novel and challenging technical needs. Such type of system
integration will have an impact on new applications in the fields of medicine, energy,
and the environment, as well as microprocessors (Bernstein et al. 2011; Moore 1998;
Dennard et al. 1974; Banerjee et al. 2009).
Opportunity
In preparation for the next emergent period of monolithic integrated systems with
increased total functional density, the international research group is searching for
a framework to manage the convergence of output signal received from sensors,
scaled signal processing, and memory, i.e. “More Moore (MM)” and “Beyond CMOS
(BC)”. The convergence of functional diversification with downsizing in a monolith-
ically integrated manner is a manifestation of the pattern of increasing complexity
in the “road-mapping process”. The international research group of scientists is a
representation of this growing complexity of technology with an increasing number
of proposed roadmap factors and desires associated with additional functionalities.
Emergent designs may benefit from the unique scheme and function of the device,
which necessitates the improvement of materials and mechanisms, even as EMI
continues to serve the evolutionary and semiconductor-centric needs of the tradi-
tional semiconductor community (Zhang et al. 2008; Awschalom et al. 2002; Borah
et al. 1996).
The special and desired properties of candidate EMI methods and materials may
necessitate atomic-level control over composition, interface, flaws, and structural
elements. Certain materials cannot always be produced with the necessary degree of
control using current synthetic or manufacturing processes (Das et al. 2021; Khan
et al. 2023).
. Devices to extend CMOS scaling, utilizing new channel materials and device
structures.
10 A. Rai et al.
About
Recently, researches on various devices for digital switching and the evaluation of
devices are mentioned in Table 1.1. Digital or logical switching started from the
15 mm gate length MOSFET to the recently developed CMOS and nanotechnology
advanced model (Das et al. 2021; Badaroglu 2021; Jagadeeswara Rao et al. 2019).
Spin wave logic devices (Spin wave) are devices with surface inputs and output
leads on a SiO2 /NiFe bilayer, like the one Khitun et al. developed. Magnetic fields
perpendicular to the magnetization of the NiFe layer are produced by currents in the
input wires. In the NiFe, the incoming magnetic field causes spinning waves that
obstruct reasoning. A current loop is responsible for detection. The device in Fig. 1.3
does not include any amplification mechanisms, although strategies for adding gain
to the device are being researched (Howes et al. 1994).
In the direction of a thin-film Ferro magnet’s magnetization, nano-magnets encode
a binary logic state. A magnetic quantum dot architecture (MQCA) uses strings
of structured nano-magnets to carry out logic operations as well as information
transmission. Majority gates were proven; now being developed are timed logic
gates, as seen in Fig. 1.4. Reconfigurable array magnetic automata (RAMA) is a
new idea being investigated for switching nano-magnets in cellular architecture. In
RAMA, magneto capacitance and multi-ferroics are employed to sense the magnetic
polarization and reset the nano-magnet pillars, respectively (Itoh 2013).
Low power supply voltages and sub-60-mV/decade sub-threshold swing are made
possible by TFETs by the application of electric field gating of interband tunnel
currents. The energy band diagram and schematic for a graphene nanoribbon TFET in
the on- and off-states are shown in Fig. 1.5. The gate depletes the channel and prevents
interband tunnelling in the off-state. Positive gate bias and interband tunnelling in
the source are enabled in the on-state. In Fig. 1.5, simulated n- and p-channel TFET
current-per-unit-gate-width characteristics are displayed, together with access resis-
tance and parasitic capacitance. The heterobarrier (HetTFET), depicted in Fig. 1.6,
is used in a second implementation of the interband TFET investigated in this study.
A third transistor, known as a RIEFET (resonant-injection-enhanced) transistor and
described in, serves as a representation of the field control of resonant tunnelling. The
graphene-based Datta-Das spin FET (graphene Spin FET) is also listed in Table 1.1.
1 Beyond Si-Based CMOS Devices: Needs, Opportunities, and Challenges 13
The Bilayer Pseudo-spin FET (BiSFET), which we have already covered, is a novel
transistor design that makes use of tunnelling. In a BiSFET, a tunnel oxide separates
two independently contacted monolayers of graphene that are sandwiched between
two metal oxide gates. A collective many-body current between the two graphene
layers is conceivable when an exciton condensate arises between the layers under
specific gate circumstances (Das et al. 2021; Zhang et al. 2008). Banerjee et al.
implemented and examined the SPICE version of the BISFET circuit operating that
is the subject of this chapter (Badaroglu 2021; Jagadeeswara Rao et al. 2019).
It is evident from the evaluation of the suggested replacement switches that in
order to effectively increase-processing capacity, complementing technologies and
14 A. Rai et al.
fundamental ideas must also be taken into account. This last section lists a few of
these problems.
The ability to visualize the design of next-generation computing devices is getting
closer to being developed by the semiconductor industry. We provide some ideas in
the light of the study’s conclusions (Howes et al. 1994).
1. The total number of components, the number of random logic gates, the size of
the memory array, and other characteristics can be used to determine the present
make-up of high-performance microprocessors.
2. One may reasonably estimate the delay, power, and area of any circuit included
in a specific novel switch using the derivations from this work. The worst-case
power density projections, maximum fan out, and minimum noise immunity
combine to generate new criteria that can be used to forecast the design function
point for logical resources mapped to a new switch.
(a)
(b)
Fig. 1.4 a SEM photo and magnetic force micrograph (MFM) of NAND2 with input output
arrangements. b Simulation graph output inductive voltage
Fig. 1.5 Graphene material: a the two atoms (A and B) in each unit cell of the hexagonal honeycomb
lattice; b the tight-binding band structure of the graphene bands with K
16 A. Rai et al.
Fig. 1.6 This illustration shows a novel electronic gadget developed at Purdue that substitutes
germanium for silicon as the semiconductor. Because it might allow the industry to produce smaller
transistors and more compact integrated circuits, germanium is one of the materials being evaluated
to replace silicon in future chips
ICs with many active Si layers and optical interconnects. Now research may reach
the sub-20 nm regime on Si through heterogeneous integration of novel structures
and materials, but this will require new fabrication technology solutions that are
generally compatible with existing Si production that is already in place.
Silicon has replaced germanium as the preferred semiconductor for industrial
CMOS technology. Future developments will be jeopardized as the industry soon
reaches the limit of how small silicon transistors can be produced. One material
being investigated to replace silicon is germanium, which could allow the industry
to produce transistors and integrated circuits that are smaller and more compact.
With regard to electrons and electron “holes”, germanium is also claimed to have
“higher mobility” in comparison with silicon, a property that makes for extremely
quick circuits (Prakash et al. 2023; Saha 2001).
It is challenging to build an N-type contact with low electrical resistance for
good current flow due to the material’s characteristics. Germanium is doped, or
impregnated, with impurities, which changes its characteristics. The resistivity is
lowest in the regions with the most contaminants. The most strongly doped area
of the germanium, which offers good contact, was exposed when the researchers
demonstrated how to scrape away the top layer of the material (Fig. 1.7).
Options for devices and materials to enhance device performance when conven-
tional scaling is limited by power. The three categories of these options are enhanced
short-channel behaviour, enhanced current drive, and enhanced switching. More
recently, a novel type of device (MESO), created by Intel and put forth in a 2018
publication, has surfaced. According to Intel, it has the potential to offer significant
advantages over CMOS.
The efficiency might increase by 10–30 times because it would only require
100 mV to run. Intel also asserted that it could increase logic density by 5 times.
1 Beyond Si-Based CMOS Devices: Needs, Opportunities, and Challenges 17
Fig. 1.7 Graph on performance and characteristic curves of IDS versus VGS as a function of
metal’s work-functions TFET
Along with having spintronic features and being non-volatile, the MESO device also
allows for the implementation of new types of circuits that are appropriate for AI.
“MESO is like a transistor-input voltage controls the current at the output (so it is
electrical voltage in and current out like MOSFETs, but it switches at [approximately]
10× lower voltage than a MOSFET”, claims Intel. “Wires only need to swing at a
10× lower voltage as a result, saving power”.
Many of the digital and high-performance microprocessor and memory can be
achieved with the scaling factor of transistors. Each 30% decrease in CMOS IC
technology node scaling has resulted in the following benefits: (1) A 43% increase
in maximum clock frequency; (2) a doubling of device density; (3) a 30% decrease
in parasitic capacitance; and (4) a 65% and 50% decrease in energy and active power
per transition, respectively.
The above data reflect the CMOS performance improvement with the power
density and circuit density trend and also represent a linear circuit performance
because of technology scaling.
More recently, a novel type of device (MESO) has appeared; it was created by Intel
and suggested in a study published in 2018. According to Intel, it has the potential
to offer significant advantages over CMOS. The efficiency might increase by 10–30
times because it would only require 100 mV to run.
Intel also asserted that it could increase logic density by 5 times. Along with
having spintronic features and being non-volatile, the MESO device also allows for
the implementation of new types of circuits that are appropriate for AI.
In order to scale nanoscale MOSFETs, it will be critical to consider higher mobility
materials like Ge and III-V materials along with cutting-edge device designs that
might outperform even extremely highly strained Si. Leakage issues must be resolved
for both “Ge and III-V devices”. To benefit from the anticipated advantages of “Ge
and III-V-based devices”, novel heterostructures will be required.
18 A. Rai et al.
The previous sections explored the universe of emerging research devices, presented
a parameterization scheme for their interconnection, and scaled CMOS with their
architectural structure and devices properties concepts. The developing parameter-
ization of the new developed device is shown in Fig. 1.8, which precise this infor-
mation at some upcoming point in time, but does not contain any information about
the evolutionary steps needed to reach that point. The different logic, memory, and
architecture tables contain some restricted structure of information and show how
time changed from left to right. The global evolutionary sequences that are expected
to develop and dominate our future technology have not, however, been addressed
(Prakash et al. 2023; Kumar et al. 2023).
The search for studying other channel materials is brought on by the growing
challenges of advanced scaling of silicon CMOS without negotiating performance.
This paper examines the continuing difficulties in deploying “III-V/Ge CMOS tech-
nology” for 7 nm and beyond, as well as the most recent advancements in channel
materials, process, and integration.
To utilize the current circuit platform to its best potential, the novel high mobility
material must be compatible with Si substrate. As a result, the insertion technology
node’s design rule and current Si CMOS process flow must be co-integrated with
“Ge and III-V materials”. Researchers have made great attempts to find a “high
dielectric constant (K)”, metal gate stack, and source/drain configuration for all
channel materials that will not degrade or compromise power/performance, as well
as a workable integration schemes.
In the In0.7Ga0.3As quantum-well field effect transistor (QWFET) on silicon
substrate, recent research has shown the integration of a cutting-edge composite
high-K gate stack (4 nm TaSiOx-2 nm InP), with thin EOT, “low gate leakage”,
“effective carrier confinement”, and “high effective carrier velocity (Veff)” in the
QW channel (Nguyen et al. 2016; Bir et al. 2020).
New non-classical device architectures have also been proven to address electro-
static field scaling concerns rather efficiently, which is encouraging given the develop-
ment of high-K materials to address MOSFET scaling issues. By using fully depleted
SOI and dual-gate architectures, for instance, short-channel effects, which are preva-
lent in the most advanced technology nodes at the 45 nm node and beyond, are signif-
icantly decreased. The ultrathin body totally depleted SOI (with a body thickness
10 nm) and Fin FET are two examples of these MOSFET architectures. Figures 1.9
and 1.10 display a schematic illustration of these two structures. Metrics intended for
the “long term” should support the development of new physical mechanism-based
technologies that are likely to follow completely new scaling laws. We anticipate
that technology will advance (Haensch et al. 2006, Bir et al. 2020).
Provide possible benefits orders of magnitude beyond technical performance
parameters (size, density, rate or information throughput rate, power dissipation, etc.)
compared to a 22 nm ITRS node for CMOS, among other metrics focused on long-
term approaches to information processing. (3) Scalable over multiple generations
with exponential improvement in technology performance metrics for incremental
cost increases, meeting metrics 2, 3, 4, 5, and 6 in the aforementioned near-term list.
(4) Provide a good balance between technical potential and risk, and (5) Compat-
ibility with CMOS infrastructure at the system level. Many novel ways for repre-
senting and processing, storing, and transferring information represented as bits are
being explored by new approaches to information processing technology.
These techniques include “entangled” quantum states represented by a q bit state
(or quantum bit), as well as the employment of innovative, ultra-scaled structures
(such as molecules, nanotubes, and nanowires) to mimic current means to represent
bits by charge, voltage, or current. It is outside the scope of this post to discuss such
a broad range of subjects. The fundamental constraints (size, energy, speed, etc.)
of the elementary switch, however, are a significant challenge in relation to novel
strategies for charge-based information processing.
Within the next 10–15 years, the advancement of silicon-based CMOS technology
will reach critical technical constraints. To increase silicon’s speed over these bounds,
new fabricable materials and device topologies must be introduced.
The development of faster and smaller devices, which will enable more mechanisms
to be implemented in the same space, will make Beyond CMOS technology the
technology of the future for information. By describing the history of these devices
through Moore’s Law, MOSFET, current CMOS technology, and the ongoing devel-
opment of the Beyond CMOS, this article seeks to give the reader a brief introduction
to this revolutionary technology (Das et al. 2021; Bir et al. 2020). One of the organi-
zations that has studied this technology more is the NRI (Prakash et al. 2023; Khan
and Beg 2013), which has found that Spintronics is one of the underlying principles
of these technologies (Amsini et al. 2023, Shah et al. 2022). The Beyond CMOS
represents the information era since they have storage capacities that are incompre-
hensible to modern technology. Moore’s law has so far proven to be accurate in its
calculations, and because of the limitation imposed by the current CMOS technology,
a number of groups, including the NRI, have concentrated their efforts on developing
a technology that can keep up with the rate of thought and creation of the modern
human being.
(continued)
Challenging issue Concerns and prospective summary of relative
Issue
Close the gap between cutting-edge Determine appropriate chances in
technology and non-traditional approaches to non-traditional computing paradigms and
computing and architectures architectures that can make use of the special
qualities of cutting-edge gadgets
Find new devices that are more capable of
implementing computer fictions and
architectures than CMOS and Boolean logic in
terms of efficiency
Conclusion
References
Agarwal S, Yablonovitch E (2015) Designing a low voltage, high current tunneling transistor. In:
King-Liu T-J, Kuhn K (eds) CMOS and beyond logic switches for Terascale integrated circuits.
Cambridge University Press
Alam MT, Siddiq MJ, Bernstein GH, Niemier M, Porod W, Hu XS (2010) On-chip clocking for
nanomagnetic logic devices. IEEE Trans Nanotechnol
Amsini, Rani U, Rai A (2023) Artificial cognitive computing for smart communications, 5G and
beyond. In: Paradigms of smart and intelligent communication, 5G and beyond. Springer Nature
Singapore, Singapore, pp 1–16
Auth C et al (2017) A 10nm high performance and low-power CMOS technology featuring 3rd-
generation fin FET transistors, self-aligned quad patterning, contact overactive gate and Cobalt
local interconnects. In: IEDM, Session 2.9
Awschalom DD, Flatté ME, Samarth N (2002) Spintronics. Sci Am 286
Badaroglu M, Xu J (2016) Interconnect-aware device targeting from PPA perspective. In: ICCAD
Badaroglu M (2021) More Moore. In: 2021 IEEE international roadmap for devices and systems
out briefs, Santa Clara, CA, USA, pp 01–38.https://doi.org/10.1109/IRDS54852.2021.00010
Banerjee SK, Register LF, Tutuc E, Reddy D, MacDonald AH (2009) Bilayer pseudoSpin field-effect
transistor (FET): a proposed new logic device. IEEE Electron Device Lett 30(2):158–200
Bernstein K, Cavin R, Porod W, Seabaugh A, Welser J (2011) Device and architecture outlook for
beyond CMOS switches. Proc IEEE 98:2169–2184. https://doi.org/10.1109/JPROC.2010.206
6530
Bir P, Vinaykumar Karatangi S, Rai A (2020) Design and implementation of an elastic processor
with hyperthreading technology and virtualization for elastic server models. J Supercomput
76(9):7394–7415
Borah M, Owens RM, Irwin MJ (1996) Transistor sizing for low power CMOS circuits. IEEE Trans
Comput-Aided Des Integr Circuits Syst 15(6):665–671
Carballo J-A et al (2014) ITRS 2.0: towards a re-framing of the semiconductor technology roadmap.
In: Proceedings of the ICCD
Chan W-TJ, Kahng A, Nath S, Yamamoto I (2014) The ITRS MPU and SoC system drivers:
calibration and implications for design-based equivalent scaling in the roadmap. In: Proceedings
of the IEEE international computer design (ICCD). pp 153–160
Cowburn R, Welland M (2000) Room-temperature magnetic quantum cellular automata. Science
287(5457):1466–1468
Das S, Chen A, Marinella M (2021) Beyond CMOS. In: 2021 IEEE international roadmap for
devices and systems out briefs, Santa Clara, CA, USA, pp 01–129.https://doi.org/10.1109/IRD
S54852.2021.00011
Dennard RH, Gaensslen FH, Yu H-N, Rideout VL, Bassous E, LeBlanc AR (1974) Design for
ion-implanted MOSFET’s with very small physical dimensions. IEEE J Solid-State Circuits
9(5):256–268
Galatsis K, Ahn C, Krivorotov I, Kim P, Lake R, Wang KL, Chang JP (2015) A material framework
for Beyond-CMOS devices. IEEE J Explor Solid-State Comput Devices Circuits 1
Galitsis K et al (2015) A material framework for beyond-CMOS devices. IEEE J Explor Solid-State
Computa Devices Circuits 1:19–27. https://doi.org/10.1109/JXCDC.2015.2424832
Haensch W et al (2006) Silicon CMOS devices beyond scaling. IBM J Res Dev 50(4.5):339–361.
https://doi.org/10.1147/rd.504.0339
Hao Z, Yan Y, Shi Y, Li Y (2022) Emerging logic devices beyond CMOS. J Phys Chem Lett
13(8):1914–1924. https://doi.org/10.1021/acs.jpclett.1c04068
Hiroki A, Yamate A, Yamada M (2008) An analytical MOSFET model including gate voltage depen-
dence of channel length modulation parameter for 20nm CMOS. In: International conference
on electrical and computer engineering, Dhaka. pp 139–143
24 A. Rai et al.
Howes R, Redman-White W, Nichols KG, Mole PJ, Robinson MJ, Bird S (1994) An SOS MOSFET
model based on calculation of the surface potential. IEEE Trans Comput-Aided Des Integr
Circuits Syst 13(4):494–506
Hutchby JA, Zhirnov V, Cavin R et al (2003) CMOS devices and beyond—a process integration
perspective. In: AIP conference proceedings, vol 683. p 74.https://doi.org/10.1063/1.1622454
Islam AE (2016) Current status of reliability in extended and beyond CMOS devices. IEEE Trans
Device Mater Reliab 16(4):647–666. https://doi.org/10.1109/TDMR.2014.2348940
Itoh K (2013) A historical review of low-power, low-voltage digital MOS circuits development.
IEEE Solid-State Circuits Mag 5(1):27–39
Jagadeeswara Rao E, Nandan D, Vijaya Krishna RV, Jayaram Kumar K (2019) A systematic journal
of multipliers accuracy and performance analysis. Int J Eng Adv Technol (IJEAT) 8(6S):965–969
Kazior TE (2014) Beyond CMOS: heterogeneous integration of III–V devices, RF MEMS and other
dissimilar materials/devices with Si CMOS to create intelligent microsystems. Phil Trans R Soc
A 372:20130105. https://doi.org/10.1098/rsta.2013
Khan IA, Beg MT (2013) Design and analysis of low power master slave flip-flops. Informacije
Midem-J Microelectr Electron Componen Mater 43(1):41–49. ISSN 0352-9045
Khan IA, Rai A, Keshari JP, Nizamuddin M, Nayak S, Sharma D (2023) Design, simulation
and comparative analysis of carbon nanotube-based energy efficient priority encoders for
nanoelectronic applications. e-Prime—Adv Electri Eng Electron Energy 4:100138. (Scopus)
Khan IA, Shah OA, Rai A, Sharma P, Mishra P, Vats S (2023) Odd counter: new design and
performance analysis using carbon nano tube transistors for high performance applications.
In: 2023 International conference on computational intelligence and sustainable engineering
solutions (CISES), Greater Noida, India, 2023. pp 610–613. https://doi.org/10.1109/CISES5
8720.2023.10183403((SCOUPS)
Kumar K, Nandan D, Mishra RK (2019) Compact hardware of running Gaussian average algorithm
for moving object detection realized on FPGA and ASIC. Revue d’Intelligence Artificielle
33(4):305–311
Kumar S, Prakash O, Gopal Y, Rai A, Ranjan A (2023) Effective bitstream compression approaches
for high speed digital systems. e-Prime—Adv Electr Eng Electron Energy 5:100221. ISSN
2772-6711.https://doi.org/10.1016/j.prime.2023.100221
Marinella M, Agarwal S, Chen A, Das S (2020) Beyond CMOS and emerging research materials
IRDS panel IEDM 2020. United States: N p, 2020. Web
Marinella M, Das S, Agarwal S, Frank MP, Akinaga H, Chen A (2021) Beyond CMOS (BC). IEEE
EDS 28(3). ISSN: 1074 1879
Mishra PK, Rai A, Sharma N, Sharma K, Mittal N, Haq MA et al (2023) Design and analysis
of graphene based tunnel field effect transistor with various ambipolar reducing techniques.
Comput Mater Continua 76(1)
Moore GE (1998) Cramming more components onto integrated circuits. Proc IEEE 86(1):82–85.
https://doi.org/10.1109/JPROC.1998.658762
Nandan D, Kanungo J, Mahajan A (2017) An efficient VLSI architecture design for logarithmic
multiplication by using the improved operand decomposition. Integration 58:134–141.https://
doi.org/10.1016/j.vlsi.2017.02.003. (UnpaidSCI,IF-1.345)
Nguyen B-Y et al (2016) Beyond silicon CMOS: progress and challenges. In: CS ManTech
conference, 16th–19th May 2016, Miami, Florida, USA
Prakash O, Pattanayak P, Rai A, Cengiz K (2023) Machine learning and deep reinforcement learning
in wireless networks and communication applications. In: Paradigms of smart and intelligent
communication, 5G and beyond. Springer Nature Singapore, Singapore, pp 83–102
Rai A (2023) An optimization of low power 4-bit PAL FIR filter using adiabatic techniques. Sadhana
48(2):84
Saha S (2001) Design considerations for 25 nm MOSFET devices. Solid-State Electron
45(10):1851–1857
1 Beyond Si-Based CMOS Devices: Needs, Opportunities, and Challenges 25
Saripalli V, Narayanan V, Datta S (2009) Ultra low energy binary decision diagram circuits using
few electron transistors. Lecture notes of the institute for computer sciences, social informatics
and telecommunications engineering, vol 20
Seabaugh AC, Qin Z (2010) Low-voltage tunnel transistors for beyond CMOS logic. Proc IEEE
98:2095–2110
Shah OA, Nijhawan G, Khan IM (2022) Low power area efficient self-gated flip flop: design,
implementation and analysis in emerging devices. Eng Appl Sci Res 49(6):744–752. https://
ph01.tci-thaijo.org/index.php/easr/article/view/248964
Sharma D, Rai A, Debbarma S, Prakash O, Ojha MK, Nath V (2023) Design and optimization of
4-bit array multiplier with adiabatic logic using 65 nm CMOS technologies. IETE J Res 1–14
Wang X et al (2018) Design-technology co-optimization of standard cell libraries on Intel 10nm
process. In: IEDM, Session 28.2
Wolf S, Lu J, Stan M, Chen E, Treger D (2010) The promise of nanomagnetics and spintronics for
future logic and universal memory. Proc IEEE
Zhang Q, Fang T, Xing H, Seabaugh A, Jena D (2008) Graphene nanoribbon tunnel transistors.
IEEE Electron Device Lett 29(12):1344–1346
Chapter 2
Nanowire-Based Si-CMOS Devices
R. K. Mishra (B)
Enhanced Composites and Structures Center, School of Aerospace, Transport and Manufacturing,
Cranfield University, Bedfordshire MK43 0AL, UK
e-mail: [email protected]
V. Mishra
International Institute of Information Technology, Hyderabad, India
S. N. Mishra
Department of Mathematics, Brahmanand PG College, Kanpur 208004, India
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 27
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_2
28 R. K. Mishra et al.
Highlights
Introduction
band gaps observed in InAs MOSFETs. Current research endeavors seek to amalga-
mate the advantages of III-V materials with novel techniques leading to a revolution
in device performance that surpasses the constraints of conventional semiconduc-
tors. This undertaking represents the transformative power of merging materials to
achieve advanced electronic capabilities (Kilpi et al. 2017). To overcome the chal-
lenge of band-to-band tunneling in indium arsenide (InAs) metal–oxide–semicon-
ductor field-effect transistors (MOSFETs), researchers propose a solution involving
the incorporation of a wider band gap material, indium gallium arsenide (InGaAs) on
the drain side of nanowire MOSFETs. This heterostructure design effectively miti-
gates off-currents while maintaining on-performance levels comparable to standard
InAs MOSFETs. This innovative approach has the potential to enhance electronic
device performance by effectively addressing power consumption and band-to-band
tunneling challenges offering the prospect of improved efficiency and functionality
in semiconductor devices (Kilpi et al. 2017). The inclusion of a wider band gap mate-
rial, indium gallium arsenide (InGaAs) in nanowire MOSFETs effectively mitigates
band-to-band tunneling challenges found in indium arsenide (InAs) MOSFETs. This
advancement holds particular significance for IoT devices and high-performance
applications as it enhances power efficiency and functionality by tackling tunneling
issues and minimizing off-current levels. This represents a significant advancement
in the optimization of semiconductor device performance and the broadening of elec-
tronic technology applications (Yin et al. 2021). Nanowires are crucial in the develop-
ment of nanometer-scale transistors, which are essential for upholding Moore’s law
and enhancing device design and performance. This capability fuels the evolution
of technology facilitating miniaturization, efficiency improvements and innovative
applications all in alignment with the continuous advancement of electronics for a
transformative future. It emphasizes their fundamental role in advancing electronic
capabilities (Burg and Ausubel 2021). Nanowires exhibit exceptional electrical prop-
erties due to their diminutive dimensions and substantial surface-to-volume ratio,
thereby elevating device performance particularly in high-performance computing
and related domains. The integration of CD-CiM alongside FeFET-based macros
exemplifies inventive approaches aimed at enhancing electronic devices through
imaginative designs, novel materials, and computational techniques all in accordance
with the overarching objective of advancing electronic capabilities. This underscores
the relentless pursuit of pushing technological boundaries (Bankman et al. 2019).
Ferroelectric field-effect transistors (FeFETs) utilize ferroelectric materials in their
gate dielectrics to enable nonvolatile memory operations. FeFETs find wide-ranging
applications in both memory and logic circuits including the CD-CiM concepts leads
toward improved efficiency and increased density in innovative electronic designs.
This aligns perfectly with the overarching goal of advancing electronic capabil-
ities exemplifying a relentless drive to push the boundaries of technology (Kim
et al. 2021). Nonvolatile memory (NVM) offers advantages like increased density
and reduced power consumption. The voltage-mode charge-domain compute-in-
memory (CD-CiM) method focuses on energy-efficient applications utilizing capac-
itors. The integration of nanowires into device architectures aligns with the objective
30 R. K. Mishra et al.
lengths. Methods such as anisotropic metal deposition and HDP oxide film deposi-
tion are employed to control gate length but ensuring precision becomes increasingly
intricate. This highlights the imperative need for innovative approaches to maintain
consistent dimensions in vGAAFETs (Larrieu et al. 2015). Innovative strategies such
as using electron beam resist for gate length definition are being explored to tackle
fabrication challenges associated with vGAAFETs. However, discrepancies in resist
thickness can lead to variability. The “vertical sandwich GAAFET” (VSAFET) inte-
gration process introduces a novel approach to manufacturing vGAAFETs with self-
aligned high-k metal gates. This minimizes gate-channel misalignment and asym-
metric source/drain regions enhancing uniformity and performance. These efforts
exemplify the dedication to precise integration methods to enhance the quality of
vGAAFET (Yin et al. 2020a). Innovative integration approaches are being employed
to tackle fabrication challenges associated with vGAAFETs leading to improved
performance and reliability. The introduction of VSAFET is a testament to the dedi-
cation to enhance semiconductor technology through inventive integration methods
with the goal of enhancing the efficiency and effectiveness of vGAAFETs in advanced
electronics. This reflects a proactive stance in overcoming obstacles and propelling
technological advancement (Yin et al. 2020b). Selective epitaxy growth (SEG) of
SiGe stressors within recessed source/drain (S/D) regions represents a significant
advancement to boost carrier mobility in miniaturized transistors. As technology
progresses, the focus is on increasing the germanium (Ge) content in SiGe S/D
regions with the goal of exceeding 50% in 10 nm FinFETs. This strategic approach
is centered on enhancing carrier mobility to optimize the efficiency and functionality
of semiconductor devices (Ghani et al. 2003; Jan et al. 2008). Figure 2.3 offers a
visual representation of Ge contents in S/D areas across various technology nodes.
This evolution underscores the strategic integration of SiGe stressors, tailored mate-
rial composition, and design to optimize carrier mobility and enhance transistor
performance exemplifying a pivotal direction in advancing semiconductor tech-
nology and design applications (Wang et al. 2020). The evolution of semiconductor
technology transitioning from FinFETs to vertical gate-all-around field-effect tran-
sistors (vGAAFETs) underscores the continuous pursuit of enhanced performance
and efficiency. In response to the challenges posed by shrinking transistor dimen-
sions, research efforts have yielded innovations such as VSAFET integration and the
integration of SiGe stressors. This relentless pursuit of innovation marked by interdis-
ciplinary collaboration and material optimization characterizes the field’s evolution
and its profound transformative impact.
Fig. 2.3 Emerging trends: the growing impact of nanowire-based Si-CMOS devices (Scopus)
its capabilities. This endeavor resonates with the ongoing pursuit of advancing elec-
tronic capabilities for innovative applications, reflecting relentless progress in trans-
formative technological advancements (Chen et al. 2023). To drive innovation in
this field, it is imperative to foster interdisciplinary collaboration among experts in
materials science, nanotechnology, electronics, and physics. The growing volume
of research activity and publications dedicated to nanowire technology underscores
its increasing importance. Nanowires have the potential to enhance semiconductor
capabilities significantly leading to transformations in various industries and laying
the foundation for future advancements in nanowire-based devices. Figure 2.3 illus-
trates the escalating research engagement and publications concerning nanowire-
based Si-CMOS devices. With a trajectory from a solitary publication in 1998 to
a recent substantial surge, this technology is commanding attention and reshaping
the semiconductor landscape. Researchers are exploring its potential to enhance Si-
CMOS devices and catalyze innovation across industries. The upward publication
trend underscores the mounting importance of this field and its potential to drive
transformative advancements in semiconductor technology. This data exemplifies
the promising outlook and emerging trends in nanowire technology pointing toward
a future characterized by significant breakthroughs.
Nanowire-based silicon complementary metal–oxide–semiconductor (Si-CMOS)
devices hold the promise of transforming industries overcoming scaling limitations
and enhancing the efficiency of electronic systems. Silicon nanowires in partic-
ular play a pivotal role in Si-CMOS technology owing to their one-dimensional
nanoscale structure and the quantum confinement effects they exhibit. These effects
manifest when semiconductor dimensions approach the de Broglie wavelength of
charge carriers leading to the quantization of energy levels. The distinctive proper-
ties of nanowires arise from these effects impacting a wide range of Si-CMOS appli-
cations and propelling the advancement of semiconductor technology (Ray et al.
2017). Nanowire CMOS devices exhibit superior electrostatic control thanks to their
larger surface-to-volume ratio which enables more efficient gate control compared to
planar devices. This improved gate control allows for better penetration of the elec-
tric field into the nanowire, thereby regulating the channel current and mitigating
short-channel effects. Nanowires offer a solution to the scaling challenges faced by
conventional CMOS devices addressing limitations that arises with shrinking device
dimensions (Nela et al. 2021). Figure 2.4 illustrates the device’s architecture, oper-
ation, and key metrics. Nanowires are structured in the gate region with a 3D gate
electrode controlling parallel channels through nanowire sidewalls. Nanowire width
on the source side is adjusted for positive threshold voltage (V TH ) and enhancement-
mode operation crucial for power devices. The slanted FP termination on the drain
side distributes high electric fields and achieves high voltage-blocking capability
(VBR).
Nanowires’ extended effective channel length effectively mitigates short-channel
effects and facilitates further scaling in CMOS technology. Nanowire-based CMOS
operates at lower voltages resulting in reduced power consumption due to its
improved gate control. However, challenges persist in achieving uniform nanowire
properties during fabrication to ensure optimal device performance despite the
38 R. K. Mishra et al.
Fig. 2.4 a 3D schematic of the proposed multi-channel device featuring multiple parallel channels
to yield extremely low RON controlled three dimensionally by a tri-gate electrode. The tri-gate is
terminated in the nanowire region rather than in the planar region to better distribute the electric
field and result in high VBR b focused ion beam cross-section and schematic of the multi-channel
nanowires covered by the tri-gate structure along the line AB in a. The tri-gate enables a simultaneous
3D control over all the multiple channels in the nanowire. Scale bar, 100 nm, c top-view SEM image
of the nanostructured gate area (before gate oxide and electrode deposition) which includes starting
from the source side, an e-mode region achieved using 15-nm-wide nanowires and a slanted region
terminated on 100-nm-wide d-mode nanowires for optimal electric field management d, e high-
angle annular dark-field (HAADF) scanning transmission electron microscopy (STEM) image d of
a multi-channel AlGaN/GaN heterostructure with five parallel channels and its simulated energy
band diagram, e N is the electron concentration (in cm−3 ), and Ec is the conduction band energy,
f dependence of N s , µ, and Rsh on the number of channels (N ch ) at room temperature (RT ) which
were grown on sapphire substrates with undoped Al0.3Ga0.7N barrier layers (Nela et al. 2021)
into CMOS components (Radamson et al. 2020). Understanding the fabrication tech-
niques, whether bottom-up, involving precise assembly from atomic components, or
top-down, which gradually reduces larger materials to the nanoscale is crucial for
harnessing the potential of nanowires in Si-CMOS devices. These methods under-
score the importance of interdisciplinary collaboration and innovation in advancing
modern electronics and semiconductor technology (Chen et al. 2020). As we delve
into their full potential, the transformative impact of nanowire-based Si-CMOS on
electronics and beyond is an exciting journey to anticipate. Through continuous
refinement and innovative exploration, nanowire-based Si-CMOS devices will play
a pivotal role in driving progress and innovation within the semiconductor realm. This
chapter delves into the transformative landscape of these devices highlighting their
significant contribution to semiconductor technology advancement. Nanowire-based
Si-CMOS devices offer a promising solution to the scaling limits of planar CMOS
elevating device performance. This chapter underscores their potential to reshape
the future of semiconductor technology addressing limitations and revolutionizing
industries. As researchers refine techniques and explore applications, nanowire-
based Si-CMOS will propel electronics advancement solidifying their significance
in innovation.
The bottom-up approach entails the growth of nanowires in the vapor phase
using metal catalysts, although achieving precise control over their diameter and
morphology can be challenging. Conversely, the top-down approach employs tech-
niques like electron beam lithography and reactive ion etching to precisely pattern
nanowires but it tends to be more complex and intricate in execution. Understanding
these fabrication methods is crucial for developing nanowire-based Si-CMOS devices
(Demontis et al. 2021). Incorporating nanowires into CMOS processes necessitates
modifications often involving the implementation of gate-all-around (GAA) struc-
tures. GAA structures are employed to fully utilize the distinctive properties and
characteristics of nanowires, thereby contributing to the advancement of semicon-
ductor technology. Within a GAA structure, the gate surrounds the nanowire leading
to improved electrostatic control and enhanced device performance (Mukesh and
Zhang 2022). Nanowire-based Si-CMOS devices are making significant contribu-
tions across various domains ushering in a revolution in multiple industries: (a)
Nanowire-based Si-CMOS devices exhibit faster switching speeds and reduced
power consumption enhancing the overall performance of logic circuits, (b) These
devices are well-suited for nonvolatile memory applications like flash offering
increased memory density and scalability, and (c) Nanowire CMOS devices are
highly sensitive making them ideal for use in sensors including gas and biosensors.
They excel in detecting and measuring various parameters with precision (Kwong
et al. 2012). The versatility of nanowire-based Si-CMOS devices in various applica-
tions arises from their exceptional responsiveness to external stimuli. These devices
hold the promise of revolutionizing the future of semiconductor technology driven
by their unique properties that enable miniaturization, improved performance, and
a wide range of applications (Zhu 2017). Continuous research and development
42 R. K. Mishra et al.
electron beam lithography (EBL) and nanoimprint lithography (NIL) are employed
to precisely pattern silicon nanowires on substrates. These techniques involve the
removal or deposition of materials using masks, ensuring precise control over
nanowire dimensions and positioning. However, it is important to note that while they
offer high precision, lithography-based approaches can be time-consuming and may
not be the most suitable choice for large-scale manufacturing processes (Sharma et al.
2022). Focused ion beam (FIB) uses a precisely focused ion beam for material etching
allowing for nanoscale pattern creation on nanowires. This is primarily utilized for
research and prototyping purposes. Plasma etching on the other hand employs a
plasma to achieve highly anisotropic etching resulting in high-aspect-ratio nanowire
structures. Self-assembly techniques utilize the nanowires themselves to pattern the
substrate offering innovative approaches to nanowire integration and device fabri-
cation. These methods complement traditional lithography-based techniques and
enhance the versatility of silicon nanowire applications (Manoccio et al. 2020). Self-
assembly techniques take advantage of the natural alignment of nanowires, simpli-
fying pattern formation. Each method has its own advantages and limitations, and the
choice depends on the specific requirements of the application and the properties of
the nanowires. These approaches increase the flexibility of silicon nanowire appli-
cations meeting various needs in nanoelectronics and nanophotonics (Chen et al.
2022). In summary, silicon nanowire technology plays a leading role in the realms of
nanoelectronics and nanophotonics offering a versatile array of fabrication methods
including vapor–liquid–solid (VLS), epitaxial growth, chemical vapor deposition
(CVD), and self-assembly. Advancements in nanotechnology necessitate the down-
sizing of structures to dimensions below 100 nm achieved through techniques like
electron beam lithography (EBL), focused ion beam fabrication (FIB), nanoimprint
lithography (NIL), and scanning probe lithography (SPL). Each of these methods
offers unique characteristics related to factors such as resolution, speed, environ-
mental conditions, and cost tailored to specific nanofabrication applications. These
techniques provide precise control over nanowire properties rendering them attrac-
tive for a wide range of applications spanning high-performance transistors, sensors,
and optoelectronic components. The selection of the fabrication method hinges on
the specific requirements of the application permitting customized solutions in the
dynamic realm of silicon nanowire technology.
Fig. 2.8 Comparison of projection and scanning electron beam lithography a electron beam
lithography projection system, b electron beam lithography scanning system
2 Nanowire-Based Si-CMOS Devices 47
Fig. 2.9 Silicon nanowire fabrication: a hierarchical process of creating silicon nanowires by
electron beam lithography (EBL)
Sidewall transfer lithography (STL) and electron beam lithography (EBL) repre-
sent distinct methodologies for the fabrication of silicon nanowires. STL is a cost-
effective option designed for the high-volume production of relatively straightfor-
ward nanowire structures. In contrast, EBL stands out for its exceptional capacity
to intricately design and customize nanowire patterns with unmatched precision.
This makes EBL particularly well-suited for research purposes and applications
requiring low-volume production where precision remains a paramount considera-
tion (Chen 2015). The selection between STL and EBL is contingent upon the precise
objectives and prerequisites of silicon nanowire fabrication. Figure 2.10 furnishes a
comparative analysis of these methodologies considering their lithographic proce-
dures, pattern transfer techniques, and benefits and domains of application. STL is
well-suited for the efficient mass production of straightforward nanowires at a reason-
able cost. Conversely, EBL demonstrates exceptional precision making it optimal
for the creation of intricate, tailored patterns, especially in research and scenarios
demanding low-volume production.
2 Nanowire-Based Si-CMOS Devices 49
Fig. 2.10 Two paths to silicon nanowire fabrication: a comparative hierarchy of sidewall transfer
lithography (STL) and electron beam lithography (EBL)
Sidewall transfer lithography (STL) represents an effective approach for the fabri-
cation of silicon nanowires providing a combination of high throughput and metic-
ulous management of nanowire properties. STL relies on a resist pattern to transfer
nanowire configurations from a sacrificial layer onto a substrate rendering it a well-
suited choice for the scalable manufacturing of Si-CMOS devices integrated with
nanowires (Liu and Syms 2014). The process of sidewall transfer lithography (STL)
for silicon nanowire fabrication comprises several essential steps: (a) The substrate
is coated with a sacrificial layer that can be readily etched, (b) A resist material
is used to pattern the coated substrate defining the locations and configurations of
nanowires, (c) Selective etching of the sacrificial layer is performed revealing the
nanowire pattern, and (d) The resist material is removed leaving behind the nanowire
pattern which can be integrated into CMOS devices. STL offers an efficient and scal-
able approach for producing silicon nanowires while maintaining precise control over
their features (Tintelott et al. 2021).
The choice of sacrificial layer material is contingent on the specific application and
the desired attributes of the nanowires. Common materials employed for this purpose
encompass silicon dioxide (SiO2 ) and silicon nitride (Si3 N4 ) (Cheng et al. 2006).
50 R. K. Mishra et al.
Resist Materials
The sacrificial layer removal in sidewall transfer lithography (STL) can be achieved
using various methods, such as reactive ion etching (RIE) or inductively coupled
plasma (ICP) etching. The selection of the technique depends on the specific material
and process requirements (Raghvendra 2018).
Sidewall transfer lithography (STL) stands out as a promising option for various
industrial applications due to its notable strengths, including high throughput
and precise control over nanowire characteristics. As research in nanofabrication
continues to advance, the importance of STL in silicon nanowire fabrication is
expected to increase. By combining STL with other nanofabrication techniques
and ongoing improvements in resist materials and etching processes, the potential
of silicon nanowire fabrication can be further extended offering opportunities for
the development of high-performance nanowire-based Si-CMOS devices (Engstrom
et al. 2011).
the nanometer scale while minimizing performance variations and ensuring scal-
ability for large-scale applications. Variations in doping levels, dimensions, and
defects in individual nanowires can significantly impact device reproducibility. One
potential solution lies in the use of nanotube and nanowire-based network materials
often referred to as nanonets. These nanonets have the potential to enhance large-area
electronics regardless of whether they exhibit metallic or semi-conductive behavior
(Khan et al. 2019). Indeed, semiconducting nanonets have received comparatively
less attention and development than their metallic counterparts. This discrepancy is
due to various factors including the length, diameter, chirality, and electrical charac-
teristics (whether they are semiconducting or metallic) of the nanotubes as well as the
interactions at tube-to-tube junctions. These factors collectively influence the elec-
tronic properties of semiconducting nanonets. Moreover, semiconducting nanonets
can be sensitive to environmental conditions that can lead to instability and limit
reproducibility. It is imperative to thoroughly explore and address these challenges
to advance the field of semiconducting nanonet technologies (Rouhi et al. 2011).
Nanonets, particularly when their thickness is significantly smaller than the individual
components, exhibit 2D percolation properties. While carbon and metallic nanonets
have been extensively investigated for their use in transparent and conductive thin
films especially in photovoltaic applications, there is a relatively limited body of
research on 2D nanonets using materials such as silicon (Si) and zinc oxide (ZnO).
Promising techniques for the precise and large-scale fabrication of 2D nanonets
include solution-based self-assembly and filtration methods. These methods offer
control over the thickness of the nanonets and enhance their versatility for various
applications (Prasad et al. 2021). Silicon nanowires are commonly used as the founda-
tional or precursor materials for producing silicon nanonets. The procedure typically
commences with the fabrication of silicon nanowires. There are several techniques
available for synthesizing silicon nanowires including vapor–liquid–solid (VLS)
growth, chemical vapor deposition (CVD), and other bottom-up methodologies.
These methods offer precise control over critical parameters such as nanowire diam-
eter, length, and orientation (Ding et al. 2019). Silicon nanowires possess specific
characteristics including a high aspect ratio, adjustable electrical conductivity, and
compatibility with silicon-based semiconductor technology rendering them well-
suited for the formation of silicon nanonets. These silicon nanowires are typically
slender resembling one-dimensional structures. To construct silicon nanonets, these
individual silicon nanowires are organized or assembled into a network-like config-
uration. Various techniques can be employed to accomplish this assembly process
(Raman et al. 2023). One method involves suspending the silicon nanowires in a
solution permitting them to undergo self-assembly on a substrate forming a nanonet.
The arrangement and density of the nanowires in the resulting nanonet can be influ-
enced by factors such as the choice of solvent, its concentration, and the properties
of the substrate. Another versatile technique is filtration in which the nanowires
are gradually deposited onto a filter surface. Over time, they accumulate to form
a nanonet on the filter, which can subsequently be transferred to other substrates.
By adjusting parameters like the solution volume and concentration, precise control
over the thickness and characteristics of the nanonet can be achieved. Following the
52 R. K. Mishra et al.
Synthesis of SiNWs
The production of silicon nanowires (SiNWs) is a highly precise procedure that relies
on the vapor–liquid–solid (VLS) mechanism originally conceptualized by Wagner
and Ellis. Gold was selected as the catalyst due to its capacity to create a low-
temperature eutectic phase with silicon which promotes the growth of SiNWs. This
growth process involved the utilization of silane (SiH4 ) as the silicon precursor gas
and phosphine (PH3 ) as the dopant allowing for the achievement of n-type properties
within the silicon nanowires (Mao et al. 2005). To maintain the utmost precision
during the growth process, hydrogen chloride (HCl) was introduced. Its role was
critical in preventing the diffusion of gold and lateral growth, guaranteeing the desired
development of SiNWs. These growth procedures were executed on <111> silicon
substrates, maintained at an exact temperature of 650 °C. The growth process was
initiated after a dewetting step involving a 2 nm-thick gold film which was carried
out at 800 °C. This meticulous control over the growth conditions ensured that the
diameters of the silicon nanowires were finely tuned to align with the size of the
catalyst used in the process (Oehler et al. 2009). This synthesis method signifies
a noteworthy milestone in nanotechnology providing meticulous control over the
dimensions and properties of SiNWs. These silicon nanowires exhibit tremendous
potential across a spectrum of applications spanning from cutting-edge transistors to
nanoscale sensors. Their successful synthesis unlocks a multitude of opportunities in
the realm of nanoelectronics and extends into broader horizons (Wang et al. 2014).
2 Nanowire-Based Si-CMOS Devices 53
2D Si Nanonet Assembly
Fig. 2.11 Schematics a and b illustrate the design and layout of the electrical test structure based
on the Si nanonet. This layout includes the placement of metallic contacts and the nanonet on the
insulating silicon nitride layer. Colorized SEM top-view images c and d provide a visual represen-
tation of the actual fabricated electrical test structure. These images show the physical arrangement
of the Si nanonet and the metallic contacts, offering a closer look at the device’s structure and layout
(Serre et al. 2015a)
Fig. 2.12 a SEM image of a Si nanonet obtained from 45 mL of SiNW solution, b schematic of a
2D nanonet illustrating dimensions and surface features, and c SiNW coverage area versus filtered
volume, determined from SEM images using ImageJ software. Error bars represent image-to-image
variability, d Si NW density vs. filtered volume calculated from coverage area with extrapolation
when saturation occurred (Serre et al. 2015a)
theory with SiNWs and NW/NW junctions forming conducting paths. Low thermal
annealing can stabilize long-channel Si nanonet resistors expanding their applica-
tions to field-effect transistors. Precise control of integration and silicidation is crucial
for reliable device manufacturing promising significant advancements in the semi-
conductor industry as shown in Fig. 2.13 (Legallais et al. 2018). Si nanonet fabri-
cation provides advantages in terms of high throughput and cost-effectiveness for
producing silicon nanowires on a large scale. However, it may not offer the same
level of precision as some other fabrication techniques, and the careful selection of
block copolymers is essential for successful results. Ongoing research efforts are
focused on improving Si nanonet fabrication making it a promising material for
various applications especially in situations where scalability and cost-effectiveness
are critical. Si nanonets are essentially 2D networks composed of silicon nanowires,
and they are formed through the self-assembly of block copolymers on a substrate
that is heated above the copolymers’ melting point.
2 Nanowire-Based Si-CMOS Devices 57
Fig. 2.13 Si nanonet hierarchical fabrication process for silicon nanowire fabrication
Advantages of Si Nanonets
Table 2.1 Comprehensive advantages and applications of Si nanonets and their potential in the
future of technology and research
Advantages of Applications Assembly Remarks Reference
Si nanonets techniques
High surface Catalysis Layer-by-layer Si nanonets enhance Gao et al.
area assembly catalytic efficiency (2019)
Fuel cells, Precise control over Si nanonets offer Xu et al.
hydrogen thickness and high (2021)
generation orientation surface-to-volume
ratio
Environmental Complex and Abundant active Robert and
remediation customizable sites improve device Nallathambi
devices performance (2020)
Enhanced Sensing Template-assisted Si nanonets improve Jeong et al.
electrical growth charge transport (2020)
conductivity Chemical and Scalability and Si nanonets enable Yang et al.
biological potential for mass efficient sensors (2017)
sensing production
High sensitivity Template removal Si nanonets enhance Lin et al.
and specificity may be challenging electronic device (2011)
efficiency
Improved Electronics Chemical vapor Si nanonets exhibit Liang et al.
mechanical deposition (CVD) unique mechanical (2014)
properties on templates properties
Energy-saving Compatible with Si nanonets have Serre et al.
electronic existing potential for durable (2015b)
devices semiconductor applications
processes
Transistors and Growth of Si Si nanonets offer Kayaharman
electronic nanowires directly increased durability et al. (2017)
components on templates and reliability
2 Nanowire-Based Si-CMOS Devices 59
Direct Bonding
Interfacial Bonding
Interfacial bonding involves the use of a bonding agent such as silane, between
Si nanonets and Si nanowires (Si NWs), followed by heating to facilitate strong
bonding at the interface. This intermediary layer enhances adhesion between the
nanonets and nanowires. Interfacial bonding offers advantages over direct bonding
especially for structures with different dimensions or surface properties providing a
reliable and adaptable method for creating robust connections between Si nanonets
and Si NWs enhancing their potential in various applications (Rao et al. 2018).
60 R. K. Mishra et al.
Interfacial bonding facilitated by a bonding agent enhances bond strength for a more
reliable connection between Si nanonets and Si NWs. It ensures a well-controlled
and uniform interface reducing the risk of interface defects that could impact device
performance. This method offers improved structural integrity and performance in
devices that combine these nanostructures (Arjmand et al. 2022). Interfacial bonding
which is more complex than direct bonding requires precise control of bonding
agent deposition and heating parameters for consistent results. Controlling bonding
agent thickness and uniformity is critical to prevent delamination or excessive strain
ensuring structural integrity. With careful optimization, this method holds promise for
creating high-performance nanocomposite materials and devices tailored for diverse
applications (Ferraris 2009).
Van der Waals bonding relies on weak attractive forces between Si nanonets and
Si NWs requiring no high temperatures or bonding agents. This simple and cost-
effective process offers practical integration of nanostructures making it easily acces-
sible for diverse applications (Rance et al. 2010). Van der Waals bonding allows
complex hybrid structures with different crystal orientations but offers relatively
weak bond strength. Additional reinforcement may be needed for high-stress appli-
cations, yet it remains attractive for simpler applications where cost-effectiveness
and simplicity are key (Liu and Speranza 2019).
silicon excel in catalytic and sensing applications. This increased surface area offers
abundant active sites improving catalytic efficiency and sensitivity in sensing devices
(Bergin et al. 2012). Furthermore, Si nanonets exhibit significantly improved elec-
trical conductivity up to 100 times greater than that of bulk silicon making them
highly suitable for advanced electronic devices such as transistors and sensors. This
enhanced electrical property arises from their unique 2D network structure which
facilitates efficient charge transport. Additionally, the network-like configuration
imparts enhanced mechanical strength to Si nanonets rendering them well-suited
for demanding mechanical applications requiring durable and dependable materials.
Figure 2.14 presents various scales of a nanonet’s morphology: Fig. 2.14a depicts a
nanonet with a density of 10.8 × 107 nanowires per square centimeter (NWs/cm2 )
created using 46 mL of filtered volume and transferred onto a Si/Si3 N4 substrate
with a closer view provided by scanning electron microscopy (SEM). Figure 2.14b
offers a high-resolution transmission electron microscopy (HRTEM) image illus-
trating the interface between two nanowires, NW 1 and NW 2 in the absence of a
sintering process. Here, green represents crystalline silicon (Si), and red represents
amorphous silicon dioxide (SiO2 ) indicating the presence of SiO2 at the interface.
Finally, (c) presents another HRTEM image of the interface between two different
nanowires, NW 3 and NW 4, post sintering. This process has led to the formation of
an 11 nm-wide neck between the two nanowires, NW 3 and NW 4, with a diameter
of approximately 100 nm. NW 1 and NW 4 appear to be cut along their axes while
NW 2 and NW 3 are observed in cross-section (Nguyen et al. 2019).
Figure 2.15 illustrates the optical and mechanical characteristics of Si nanonets
with three distinct sections labeled as (a), (b), and (c) to highlight different aspects
of the material. In Fig. 2.15a, it showcases the material’s flexibility demonstrating
its ability to bend and deform without fracturing. This property is crucial for poten-
tial applications requiring flexible electronic devices. Transitioning to Fig. 2.15b,
the figure emphasizes the uniformity and consistency of the nanonets across three
different nanonet (NN) densities. This visual representation underscores the even
distribution of nanowires throughout the material highlighting its uniform struc-
ture. In Fig. 2.15c, the figure explores the optical transparency of Si nanonets
through optical transmittance measurements. As expected, transmittance decreases as
nanonet density increases. This decline in transmittance is linked to reduced porosity
as nanonet density rises. Specifically, with a nanonet density of 10.8 × 107 nanowires
per square centimeter (NWs/cm2 ), a transmittance of 70% is achieved in the visible
wavelength range, specifically at 550 nm (Nguyen et al. 2019).
The electrical properties of Si nanonets with a consistent density of 27 × 106 NWs/
cm2 are highly reproducible with I-V curves showing symmetric nonlinear behavior
and fluctuations within ± 18%. This reproducibility indicates consistent electrical
behavior among nanonets with similar characteristics. The observed rectifying
response in I-V curves is attributed to back-to-back Schottky contacts primarily
at metal-nanowire junctions. Conduction mechanisms involve Schottky interface
junctions, surface conduction along nanowire sidewalls mediated by gold (Au),
and conduction through the nanowires themselves. NW-NW junctions play a role
in conduction, but the nanonets’ intrinsic conductance is not limited by nanowire
62 R. K. Mishra et al.
Fig. 2.14 Illustrates the nanonet morphology at different scales ranging from macro to nano.
a Depicts a nanonet with a density of 10.8 × 107 nanowires per square centimeter (NWs/cm2 ),
fabricated using 46 mL of filtered volume on a nitrocellulose membrane and subsequently trans-
ferred onto a Si/Si3 N4 substrate. A closer view of the nanonet’s morphology is captured using scan-
ning electron microscopy (SEM). b Presents a high-resolution transmission electron microscopy
(HRTEM) image of the interface between NW 1 and NW 2 without the sintering process. To enhance
clarity, crystalline Si is represented in green while amorphous SiO2 is depicted in red highlighting
the presence of SiO2 at the interface. c Displays another HRTEM image of the interface between
NW 3 and NW 4 after applying the sintering process. In this case, an 11 nm-wide neck has formed
between the two nanowires, NW 3 and NW 4, with a diameter of approximately 100 nm. NW1
and NW4 appear to be sectioned along their axes while NW2 and NW3 are seen in cross-section
(Nguyen et al. 2019)
conduction. Conductance varies with SiNW density showing distinct regimes: OFF-
state at very low densities, percolation behavior in the intermediate range (13 × 106
to 35 × 106 NW/cm2 ), and near-linear behavior at high densities (> 35 × 106 NW/
cm2 ). This transition from OFF-state to bulk-like behavior in Si nanonets is a novel
observation consistent with percolation theory (Serre et al. 2015a). The electrical
properties of Si nanonets are influenced by storage atmosphere and time. In nitrogen,
nanonets remain stable but in air, conductance exponentially decreases with a 2.2-
day decay attributed to oxidation. HF vapor exposure restores properties. SiNWs
with a diameter around 100 nm exhibit slower oxidation due to nanonet structure
with conductance decay related to oxide thickness at NW-NW junctions and elec-
tron tunneling. Protecting Si nanonets from oxidation is crucial. Working under
2 Nanowire-Based Si-CMOS Devices 63
Fig. 2.15 Optical and mechanical characteristics of Si nanonets, picture of nanonet: a on plastic
substrate (PET); b on glass (three different densities); c the transmittance of SiNN with the three
densities, the transmittance of the substrate (bare glass) (Mayer et al. 2015; Nguyen et al. 2019)
Doping silicon nanowires is a crucial and versatile process that allows for the precise
tuning of their electronic properties. This technique involves introducing specific
impurities known as dopants into the silicon crystal structure during the nanowire
fabrication process. Doping provides control over nanowire conductivity and carrier
concentration making it essential for tailoring nanowires to suit a wide range of
applications in the field of nanoelectronics and beyond (Wallentin and Borgström
2011). Doping silicon nanowires plays a critical role in the creation of functional
electronic devices such as transistors by optimizing the types and concentrations of
charge carriers. This process is essential for advancing nanoelectronics and fostering
innovation in the field. Doping can be categorized into two main types: p-type and
n-type depending on whether dopant atoms with fewer or more valence electrons
than silicon are introduced. For example, boron is a commonly used p-type dopant
64 R. K. Mishra et al.
carefully choosing the type and level of dopants, nanowire sensors can be designed
to respond selectively to specific analytes improving their accuracy and reliability. In
photodetectors, doping can influence the absorption properties of nanowires enabling
them to efficiently convert light into electrical signals. This is particularly important
for high-performance photodetectors used in imaging and communication systems.
By optimizing the dopant concentration, engineers can enhance the responsiveness
and efficiency of photodetectors leading to improved image quality and faster data
transmission rates (Sha et al. 2022). In conclusion, doping of silicon nanowires is
a powerful technique that allows for precise control of their electronic properties
making them versatile building blocks for various electronic devices. By tailoring
the doping type and concentration, researchers and engineers can unlock the full
potential of nanowire-based Si-CMOS devices paving the way for enhanced perfor-
mance, reduced power consumption, and the exploration of new applications in the
semiconductor industry. Doping is a pivotal tool in the advancement of nanoelec-
tronics and holds great promise in revolutionizing the landscape of electronic devices
and technology. Its versatility and significance in nanowire-based applications make
it an indispensable aspect of modern semiconductor research and development.
Some of the integration challenges that researchers and engineers are addressing
include: (a) Ensuring that silicon nanowires can be seamlessly integrated with the
existing materials used in CMOS processes without causing compatibility issues, (b)
Developing reliable and scalable fabrication techniques for creating silicon nanowires
in a controlled and cost-effective manner, (c) Achieving uniformity in nanowire
dimensions, doping levels and properties across large-scale integration to ensure
consistent device performance, (d) Ensuring the long-term reliability of devices
incorporating silicon nanowires as well as understanding the impact of aging and
environmental factors, (e) Addressing the scaling challenges associated with inte-
grating nanowires into CMOS technologies as smaller feature sizes become more
prevalent, (f) Determining the best ways to incorporate silicon nanowires into CMOS
memory architectures and optimizing their performance within these structures. As
these integration hurdles are overcome through ongoing research and development,
silicon nanowires have the potential to revolutionize logic CMOS devices. They can
enhance device performance, enable novel memory technologies like RRAM, and
contribute to the development of disruptive device technologies that push the bound-
aries of what is possible in the field of semiconductor technology (Zahoor et al.
2023). Silicon nanowires (NWs) hold great promise for revolutionizing logic comple-
mentary metal–oxide–semiconductor (CMOS) devices. Their exceptional properties
open up various potential applications in different aspects of logic CMOS devices:
(a) Silicon nanowires can be used as the channel material in field-effect transis-
tors (FETs). Their high surface area and improved electrical conductivity enable
the fabrication of highly efficient and compact transistors. Moreover, the precise
doping capabilities of NWs allow for customizing the electrical characteristics of
these transistors making them suitable for a wide range of applications, (b) Silicon
nanowires can play a role in enhancing the performance of CMOS memory devices.
They can be integrated into novel memory architectures such as resistive random-
access memory (RRAM) to improve data storage and retrieval efficiency, and (c)
Silicon nanowires are highly sensitive to changes in their environment. This sensi-
tivity makes them valuable for use in sensors integrated into CMOS devices. They
can be employed in various sensor types including gas sensors, biosensors, and envi-
ronmental sensors to detect and respond to specific stimuli with high precision, (d)
The improved electrical conductivity of silicon nanowires makes them suitable for
use as interconnects in CMOS devices. They can facilitate faster and more efficient
data transfer between components reducing signal propagation delays and improving
overall device performance, (e) Silicon nanowires exhibit excellent light-absorption
properties making them ideal candidates for photodetectors integrated into CMOS
devices. These photodetectors can find applications in imaging, communication,
and optical sensing, (f) The unique electronic behavior of silicon nanowires at the
nanoscale makes them valuable for exploring quantum phenomena. Researchers are
investigating their potential use in quantum devices for future quantum computing
applications, (g) Silicon nanowires can contribute to the development of energy-
efficient CMOS devices. Their high surface area allows for efficient transport which
can lead to reduced power consumption in electronic circuits, (h) Silicon nanowires
2 Nanowire-Based Si-CMOS Devices 67
can be integrated into logic gates enabling the creation of more compact and energy-
efficient logic circuits. These gates can be tailored to specific applications enhancing
the overall functionality of CMOS devices, (i) Silicon nanowires can be incorporated
into nanoelectromechanical systems (NEMS) devices for various purposes including
sensing, actuation, and signal processing. In summary, silicon nanowires offer a
wide range of potential applications in logic CMOS devices driven by their unique
properties and versatile characteristics. Ongoing research and development efforts
continue to explore and unlock the full potential of these nanowires paving the way
for innovative and high-performance electronic devices in the future.
Quantum simulations of nanowires (NWs) are essential for comprehending the elec-
tronic behavior in the context of shrinking complementary metal–oxide–semicon-
ductor (CMOS) device dimensions where quantum effects become prominent. NWs
with their quasi-one-dimensional (quasi-1D) characteristics provide a unique plat-
form for exploring and leveraging these quantum phenomena. This computational
approach is crucial for advancing our understanding of NWs in nanoelectronics (Yang
et al. 2010). Nanowires characterized by their small diameters and varying lengths
showcase distinctive quantum behaviors such as quantum confinement, tunneling,
and discrete energy levels. These quantum effects absent in bulk materials profoundly
influence the electronic and transport characteristics of nanowires. Understanding
and harnessing these phenomena are vital for advancing nanowire-based nanoelec-
tronics (Mohammad 2014). Quantum confinement in nanowires arises when the
wire’s diameter approaches the de Broglie wavelength of electrons causing energy
levels to become quantized along the wire’s length. As nanowire diameter decreases,
energy levels become discrete altering the electronic band structure and giving rise to
sub-band structures. Understanding this phenomenon is crucial for tailoring nanowire
properties in nanoelectronics and quantum devices (Mohammad 2014). Quantum
tunneling in nanowires enables electrons to traverse energy barriers leading to
phenomena like resonant tunneling and tunnel field-effect transistors (TFETs). This
understanding is pivotal for optimizing nanowire-based devices. Quantum simula-
tions involving the Schrödinger equation provide a computational approach to study
particle behavior in these quantum effects aiding in device design and performance
enhancement (Esseni et al. 2017). Quantum simulations offer insights into nanowire
electronic properties and behavior aiding in predicting their response to varying
conditions. These simulations model charge carrier movement in nanowires under
electric fields crucial for optimizing transistor performance. They also elucidate elec-
tron tunneling behavior and predict tunneling current in diverse scenarios (Konar et al.
2015). Quantum simulations aid in designing efficient tunneling devices by uncov-
ering the impact of quantum confinement on nanowire energy levels and electronic
properties. This understanding has practical applications in nanoelectronics espe-
cially in optimizing CMOS transistor design for enhanced performance and energy
68 R. K. Mishra et al.
Data storage devices are categorized into volatile and nonvolatile memories. Volatile
memories like SRAM and DRAM require continuous power to retain data while
nonvolatile memories like Flash can store data without power. DRAM uses one
transistor and one capacitor making it cost-effective but slower. SRAM is fast but
expensive due to its six-transistor structure. Flash memory, the youngest of the three,
stores data without power but is slower than SRAM and DRAM. Each has advantages
and trade-offs in terms of cost, speed, and power consumption (Bez et al. 2003). The
nonvolatile memory market encompasses various sectors including consumer elec-
tronics, automotive, computing, and communication. Figure 2.16 demonstrates the
substantial increase in NVSM memory use notably in digital cellular phone produc-
tion since 1990. The demand for flexible and transparent electronics especially for
affordable wearables has spurred research in flexible technology while silicon-based
semiconductor memories have been crucial in consumer electronics. There is a shift
toward soft nonvolatile memory for cost-effective, large-area, and energy-efficient
flexible applications. Despite market fluctuations, long-term growth is expected
driven by innovation, transparency, flexibility, and 3-D technologies. Nanowires are
advantageous for CMOS memories due to their unique properties including a high
surface area and customizable electrical traits. One promising application is resistive
random-access memory (RRAM) which has the potential to transform data storage
by offering enhanced performance and efficiency. The impact of these memory tech-
nologies could lead to significant advancements in data storage solutions (Meena
et al. 2014). RRAM employs resistive switching in tiny conductive filaments for data
storage. Nanowires are ideal for RRAM due to their small size enabling compact
memory cells and reduced power usage. This technology offers the potential for
greater memory density and efficiency compared to traditional memory solutions
(Meena et al. 2014). This aligns with the increasing need for energy-efficient elec-
tronics. Nanowires offer faster switching speeds due to their nanoscale size resulting
in quicker data operations. Their unique electrical properties like enhanced carrier
mobility and surface effects enhance device performance and reliability (Meena
et al. 2014). RRAM is a nonvolatile memory technology meaning that it retains data
even when power is turned off. Nanowire-based RRAM extends this non-volatility
advantage while also provides the benefits mentioned above. Nanowire-based RRAM
devices can be fabricated in a compact layout making them well-suited for modern
2 Nanowire-Based Si-CMOS Devices 69
Fig. 2.16 Various NVSM applications in the electronics industry by market size (Meena et al.
2014)
applications in integrated RGB displays and other optoelectronic fields requiring effi-
cient light sources with nanowire-based fabrication and wavelength stability driving
advancements in next-generation μLED technologies (He et al. 2023). Figure 2.17a
shows a schematic of the InGaN/AlGaN multiple-quantum-well nanowire array illus-
trating the structure used in the study. Figure 2.17b demonstrates the remarkable
stability of the electroluminescence peak wavelength even with a significant change in
current injection highlighting the wavelength stability achieved in the μLED device.
Figure 2.17c displays the current–voltage characteristics of a device emphasizing its
good rectification ratio and strong green emission which is visible to the naked eye.
These results illustrate the promising attributes of the nanowire-based μLEDs and
their potential for practical applications in optoelectronics (Wu et al. 2022).
Fig. 2.17 a Schematic of the InGaN/AGaN multiple-quantum-well nanowire array. b The peak
wavelength of the device’s electroluminescence stays constant over one order of magnitude of
change in current injection. c The current–voltage characteristics of a device that shows good
rectification ratio and strong green emission visible to the eyes (Wu et al. 2022)
72 R. K. Mishra et al.
Researchers have developed an innovative artificial neuron device that offers the
potential to dramatically reduce the computational power and hardware needed for
training neural networks. This device can perform neural network calculations with a
remarkable energy and space efficiency up to 1000 times better than existing CMOS-
based hardware.
Neural networks play a vital role in tasks like image recognition and autonomous
vehicles, and this advancement addresses a critical bottleneck by significantly cutting
the energy and area requirements for neural network computations (Ivanov et al.
2022). This innovative artificial neuron device achieves energy and space efficiency
by utilizing a widely-used activation function, the rectified linear unit (ReLU). It
accomplishes this through a gradual change in resistance driven by a Mott transition
in a nanoscale layer of vanadium dioxide. The heating required for this transition is
provided by a nanowire heater composed of titanium and gold. This breakthrough
technology offers a highly efficient approach to neural network computations (Park
et al. 2022). The researchers have successfully integrated arrays of artificial neuron
and synaptic devices to construct a hardware-based neural network. This network
showcased its convolution capabilities through edge detection in image processing,
a crucial element for deep neural networks. While this system is currently a proof
of concept, the researchers envision scaling it up and stacking more layers to create
complex systems for applications like self-driving car recognition. This advancement
holds the potential to revolutionize the energy efficiency and capabilities of neural
network training and applications (Saleh and Koldehofe 2022). Figure 2.18 displays
a custom printed circuit board featuring an array of activation (neuron) devices and
a synaptic device array. This setup represents the integration of artificial neurons
and synapses for creating hardware-based neural networks. It is a key component of
the researchers’ proof-of-concept system for energy-efficient neural network appli-
cations potentially impacting areas like image recognition and self-driving cars (Oh
et al. 2021).
Nanowires are extremely thin elongated structures with diameters on the nanometer
scale. “Disruptive devices”, it typically refers to innovative technologies or electronic
devices that have the potential to disrupt or significantly change existing industries,
markets, or technologies. Therefore, “NWs for disruptive devices” suggests the use
of nanowires in the development of novel and groundbreaking electronic devices
that have the potential to revolutionize industries or create entirely new markets.
Nanowires have unique properties that make them suitable for a wide range of appli-
cations, and researchers are exploring their use in areas such as nanoelectronics,
sensors, energy storage, and more. These disruptive devices could offer improved
performance, energy efficiency, or entirely new functionalities compared to tradi-
tional technologies leading to significant advancements in various fields. Nanowires
have the potential to revolutionize CMOS technology by enabling disruptive devices.
2 Nanowire-Based Si-CMOS Devices 73
Fig. 2.18 Custom printed circuit board built with an array of activation (or neuron) devices and a
synaptic device array (Oh et al. 2021)
One such innovation is the integration of nanowires into tunnel field-effect transis-
tors (TFETs) which leverage quantum tunneling for highly energy-efficient charge
transport. TFETs offer ultralow power consumption and subthreshold swing funda-
mentally reshaping the landscape of electronics for enhanced energy efficiency
(Radamson et al. 2020). Nanowires’ nanoscale properties make them ideal for imple-
menting quantum tunneling in TFETs enabling low-energy carrier transport and
reduced power consumption. NW-based TFETs have the potential to significantly
lower the power usage particularly in IoT devices and wearables addressing crit-
ical energy conservation needs. This technology holds promise for enhancing the
74 R. K. Mishra et al.
like SiO2 layer growth for gate insulation, photolithography to define patterns, chem-
ical treatment for etching and deposition, doping to alter electrical properties, and
deposition of materials like metal and dielectric layers. These processes are essential
in shaping the silicon wafer into the intricate components needed for transistors and
other electronic elements. They lay the foundation for the fabrication of advanced
integrated circuits and electronic devices (Batude et al. 2011). Annealing activates
dopants and repairs previous damage while planarization smoothens the wafer for
subsequent circuitry layers. FEOL is the crucial phase where semiconductor devices
and integrated circuits are prepared on the silicon wafer. These processes ensure the
foundation for advanced electronics is in place for further development (Li et al.
2017). FEOL followed by BEOL adds metal layers and interconnects to create
functional integrated circuits including microprocessors and memory chips. This
process is vital for developing powerful electronic devices in modern technology.
FEOL is a foundational stage enabling innovation in electronics that shape our world
(Mallavarapu et al. 2020). Integrating SiNWs with CMOS at the FEOL stage is crucial
for utilizing their biosensing potential in lab-on-chip (LOC) devices. SiNWs offer
high sensitivity and label-free detection due to their nanoscale dimensions. Seamless
integration with CMOS circuitry is essential for fully harnessing SiNWs’ capabil-
ities in LOC biosensors (Gao et al. 2014). FEOL integration of SiNWs requires
compatibility with existing CMOS processes. Gate-all-around (GAA) structures are
employed to enhance SiNW sensor performance. Sidewall transfer lithography (STL)
is a key FEOL technique enabling efficient SiNW fabrication for high-density sensor
arrays on a single chip (Datta et al. 2019). STL provides precise control of SiNW
dimensions for tailored DNA hybridization detection. FEOL process flow is CMOS-
compatible enabling large-scale production of SiNW sensors. Designing a pixel
matrix based SiNW LOC sensor is a key focus in FEOL integration for biomarker
detection (Rigante et al. 2015). Design focuses on specific and multi-target detection
with high selectivity and sensitivity. SiNW pixel matrix monitors electrolyte changes
using a fluid gate simplifying access to test sites. Integration eliminates complex
microfluidics using photolithography and reactive ion etching (Ruano et al. 2003).
FEOL integration brings SiNWs closer to being fully integrated with CMOS circuitry
resulting in real-time readout of the sensor’s output signal and providing a compact,
portable, and high-speed sensor. This seamless integration opens up exciting possi-
bilities for advanced LOC biosensors that leverage the benefits of CMOS circuits and
SiNW technology. The successful integration of SiNWs in the FEOL scheme has
the potential to revolutionize disease monitoring and detection leading to improved
life expectancy and healthcare outcomes (Jayakumar et al. 2014). LOC biosensors
which stands for “lab-on-a-chip” biosensors are compact analytical devices designed
to perform various biochemical and biological assays or tests on a small integrated
platform. These sensors are used for detecting and analyzing specific biological
molecules such as proteins, DNA, RNA, or chemical substances often in very low
concentrations. LOC biosensors offer several advantages, including high sensitivity,
rapid analysis, reduced sample volumes, and the ability to perform multiple assays in
parallel. The integration of SiNWs with CMOS circuits enhances the development of
cost-effective and highly sensitive sensors for point-of-care diagnostics. SiNWs have
76 R. K. Mishra et al.
shown promise in detecting various molecules without the need for labels. Addi-
tionally, incorporating high-K dielectrics like HfO2 improves sensor performance
by enhancing current response near the limit of detection. Overcoming challenges
in selective etching is crucial for the successful integration of HfO2 with SiNWs
in the FEOL stage which has been achieved in recent research. This advancement
holds potential for revolutionizing diagnostic technologies (Jayakumar et al. 2019).
In conclusion, FEOL integration of SiNWs with CMOS technology holds immense
promise for advancing lab-on-chip biosensors. The combination of STL fabrication,
GAA structures, and high-K dielectrics offers a powerful platform for developing
highly sensitive and selective biosensors. The ongoing research and development
in this field will pave the way for more than Moore applications of SiNW-based
devices and integrated circuits revolutionizing the landscape of electronic devices
and technologies and transforming healthcare and disease diagnostics. With contin-
uous improvements in FEOL integration techniques, SiNWs are poised to become a
game-changer in biosensing and usher in a new era of personalized and point-of-care
diagnostics.
are seeking materials to bridge SiNWs and CMOS in integration. These materials
must be compatible and enable seamless alignment. This effort aims to enhance
SiNW-CMOS integration for advanced semiconductor technology (Hellström et al.
2014). Challenges persist in ensuring compatibility, performance, and yield rates in
SiNW integration. Collaboration across disciplines is vital for effective strategies in
advanced CMOS technology. It aims to overcome these challenges for successful
integration (Livi et al. 2014). The integration of SiNWs post-BEOL can advance
CMOS devices improving performance and energy efficiency. This contributes to the
ongoing evolution of semiconductor technology. Collaboration and innovation are the
keys to achieve this integration success (Khan et al. 2018). In conclusion, the integra-
tion of silicon nanowires (SiNWs) into complementary metal–oxide–semiconductor
(CMOS) technology particularly in the front-end-of-line (FEOL) stage represents
a significant step in harnessing the unique properties of SiNWs for biosensors and
other advanced applications. This integration involves careful planning, innovative
techniques like 3D integration and selective etching, and interdisciplinary collabora-
tion to overcome challenges. Successfully integrating SiNWs into CMOS can lead to
high-performance, energy-efficient devices revolutionizing fields like disease moni-
toring and diagnostics and contributing to the continued evolution of semiconductor
technology.
Silicon nanowires (NWs) hold immense promise across scientific and technological
domains. Their remarkable attributes encompassing superior surface area, augmented
electrical conductivity, and meticulous doping regulation establish them as versatile
components applicable to diverse fields. Throughout this discourse, we have delved
into the potentials and hurdles entwined with silicon NWs delineating their multi-
faceted impact on research and innovation. The morphology and characteristics of
silicon nanowires combined with their flexibility in the manufacturing process open
doors to exciting prospects in device development. Their substantial surface area
and enhanced electrical conductivity make them invaluable in catalytic, sensing,
and electronic applications offering the potential for improved effectiveness and
efficiency. Additionally, their heightened mechanical resilience renders them suit-
able for applications demanding durability and reliability. Doping silicon nanowires
plays a pivotal and versatile role in manipulating their electronic characteristics.
The precise introduction of specific impurities empowers researchers to customize
the nanowires’ conductivity and carrier concentration rendering them suitable for
an array of electronic devices including transistors, sensors, and photodetectors.
Quantum simulations and electrical characterizations of silicon nanowires are invalu-
able for gaining essential insights into their behavior at the nanoscale. These research
efforts are paramount for comprehending carrier transport, tunneling phenomena,
78 R. K. Mishra et al.
and confinement effects. They aid in fine-tuning transistor performance and inves-
tigating innovative quantum devices. Silicon nanowires are also poised to revolu-
tionize CMOS memory and evolutionary devices. Their expansive surface area and
customized electrical properties outperform traditional planar devices paving the way
for high-density, low-power, and high-performance memory solutions. Additionally,
silicon nanowires have the potential to introduce disruptive elements notably tunnel
field-effect transistors capable of redefining the boundaries of CMOS technology.
These groundbreaking devices bring the promise of ultralow power consumption
and subthreshold swing both for the progression of energy-efficient electronics. The
seamless incorporation of silicon nanowires after the back-end-of-line (BEOL) and
front-end-of-line (FEOL) processes stands as a critical hurdle to surmount. Precise
alignment, harmonious interconnection with existing CMOS components, and adher-
ence to process flows are pivotal challenges that diligent researchers are actively
addressing. In summation, silicon nanowires exhibit vast potential for advancing a
multitude of technological domains encompassing electronics, photonics and more.
Their intrinsic properties and adaptable nature render them versatile and alluring
contenders for an extensive array of applications. Nevertheless, the successful inte-
gration into practical CMOS technology necessitates the resolution of specific chal-
lenges, a pursuit that researchers are fervently engaged in. As this technology
continues to burgeon, silicon nanowires are poised to assume a pivotal role in shaping
the future of electronics, communication, and computing unlocking novel avenues
for innovation and scientific exploration.
References
Abdul Rashid JI, Abdullah J, Yusof NA, Hajian R (2013) The development of silicon nanowire
as sensing material and its applications. J Nanomater 2013:1–16. https://doi.org/10.1155/2013/
328093
Akbari-Saatlu M, Procek M, Mattsson C, Thungström G, Nilsson H-E, Xiong W, Xu B, Li Y,
Radamson HH (2020) Silicon nanowires for gas sensing: a review. Nanomaterials 10:2215.
https://doi.org/10.3390/nano10112215
Arjmand T, Legallais M, Nguyen TTT, Serre P, Vallejo-Perez M, Morisot F, Salem B, Ternon C
(2022) Functional devices from bottom-up silicon nanowires: a review. Nanomaterials 12:1043.
https://doi.org/10.3390/nano12071043
Bae G, Bae D-I, Kang M, Hwang SM, Kim SS, Seo B, Kwon TY, Lee TJ, Moon C, Choi YM,
Oikawa K, Masuoka S, Chun KY, Park SH, Shin HJ, Kim JC, Bhuwalka KK, Kim DH, Kim
WJ, Yoo J, Jeon HY, Yang MS, Chung S-J, Kim D, Ham BH, Park KJ, Kim WD, Park SH, Song
G, Kim YH, Kang MS, Hwang KH, Park C-H, Lee J-H, Kim D-W, Jung S-M, Kang HK (2018)
3nm GAA technology featuring multi-bridge-channel FET for low power and high performance
applications. In: IEEE international electron devices meeting (IEDM). IEEE, pp 28.7.1–28.7.4.
https://doi.org/10.1109/IEDM.2018.8614629
Bai H, Zhou X, Zhou Y, Chen X, You Y, Pan F, Song C (2020) Functional antiferromagnets for
potential applications on high-density storage and high frequency. J Appl Phys 128. https://doi.
org/10.1063/5.0029799
2 Nanowire-Based Si-CMOS Devices 79
Clark R (2014) Emerging applications for high K materials in VLSI technology. Materials 7:2913–
2944. https://doi.org/10.3390/ma7042913
Dahiya R, Yogeswaran N, Liu F, Manjakkal L, Burdet E, Hayward V, Jorntell H (2019) Large-area
soft e-skin: the challenges beyond sensor designs. Proc IEEE 107:2016–2033. https://doi.org/
10.1109/JPROC.2019.2941366
Datta S, Dutta S, Grisafe B, Smith J, Srinivasa S, Ye H (2019) Back-end-of-line compatible tran-
sistors for monolithic 3-D integration. IEEE Micro 39:8–15. https://doi.org/10.1109/MM.2019.
2942978
Dayananda GK, Shantharama Rai C, Jayarama A, Jae Kim H (2018) Simulation model for elec-
tron irradiated IGZO thin film transistors. J Semicond 39:022002. https://doi.org/10.1088/1674-
4926/39/2/022002
Demontis V, Zannier V, Sorba L, Rossella F (2021) Surface nano-patterning for the bottom-up
growth of III-V semiconductor nanowire ordered arrays. Nanomaterials 11:2079. https://doi.
org/10.3390/nano11082079
Ding M, Guo Z, Zhou L, Fang X, Zhang L, Zeng L, Xie L, Zhao H (2018) One-dimensional
zinc oxide nanomaterials for application in high-performance advanced optoelectronic devices.
Crystals (Basel) 8:223. https://doi.org/10.3390/cryst8050223
Ding B, Wang X, Yu J (2019) Electrospinning: nanofabrication and applications. Elsevier.https://
doi.org/10.1016/C2016-0-01374-8
Duan X, Lieber CM (2000) General synthesis of compound semiconductor nanowires. Adv Mater
12. https://doi.org/10.1002/(SICI)1521-4095(200002)12:4<298::AID-ADMA298>3.0.CO;2-Y
Engstrom DS, Savu V, Zhu X, Bu IYY, Milne WI, Brugger J, Boggild P (2011) High throughput
nanofabrication of silicon nanowire and carbon nanotube tips on AFM probes by stencil-
deposited catalysts. Nano Lett 11:1568–1574. https://doi.org/10.1021/nl104384b
Esseni D, Pala M, Palestri P, Alper C, Rollo T (2017) A review of selected topics in physics based
modeling for tunnel field-effect transistors. Semicond Sci Technol 32:083005. https://doi.org/
10.1088/1361-6641/aa6fca
Etzkowitz H, Webster A, Gebhardt C, Terra BRC (2000) The future of the university and the
university of the future: evolution of ivory tower to entrepreneurial paradigm. Res Policy 29:313–
330. https://doi.org/10.1016/S0048-7333(99)00069-4
Fan P, Gao J, Mao H, Geng Y, Yan Y, Wang Y, Goel S, Luo X (2022) Scanning probe lithography:
state-of-the-art and future perspectives. Micromachines (Basel) 13:228. https://doi.org/10.3390/
mi13020228
Ferraris M (2009) Adhesive bonding. In: Handbook of plastics joining. Elsevier, pp 145–173. https://
doi.org/10.1016/B978-0-8155-1581-4.50019-6
Fruncillo S, Su X, Liu H, Wong LS (2021) Lithographic processes for the scalable fabrication of
micro- and nanostructures for biochips and biosensors. ACS Sens 6:2002–2024. https://doi.org/
10.1021/acssensors.0c02704
Gao A, Lu N, Dai P, Fan C, Wang Y, Li T (2014) Direct ultrasensitive electrical detection of
prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.
Nanoscale 6:13036–13042. https://doi.org/10.1039/C4NR03210A
Gao Y, Jiang W, Luan T, Li H, Zhang W, Feng W, Jiang H (2019) High-efficiency catalytic conversion
of NOx by the synergy of nanocatalyst and plasma: effect of Mn-based bimetallic active species.
Catalysts 9:103. https://doi.org/10.3390/catal9010103
Geng LD, Dhoka S, Goldfarb I, Pati R, Jin YM (2021) Origin of magnetism in γ-FeSi2 /Si(111)
nanostructures. Nanomaterials 11:849. https://doi.org/10.3390/nano11040849
Ghani T, Armstrong M, Auth C, Bost M, Charvat P, Glass G, Hoffmann T, Johnson K, Kenyon C,
Klaus J, McIntyre B, Mistry K, Murthy A, Sandford J, Silberstein M, Sivakumar S, Smith P,
Zawadzki K, Thompson S, Bohr M (2003) A 90nm high volume manufacturing logic technology
featuring novel 45nm gate length strained silicon CMOS transistors. In: IEEE international
electron devices meeting. IEEE, pp 11.6.1–11.6.3. https://doi.org/10.1109/IEDM.2003.1269442
2 Nanowire-Based Si-CMOS Devices 81
Legallais M, Nguyen TTT, Cazimajou T, Mouis M, Salem B, Ternon C (2019) Material engineering
of percolating silicon nanowire networks for reliable and efficient electronic devices. Mater
Chem Phys 238:121871. https://doi.org/10.1016/j.matchemphys.2019.121871
Li M, Shi J, Rahman M, Khasanvis S, Bhat S, Moritz CA (2017) Skybridge-3D-CMOS: a fine-
grained 3D CMOS integrated circuit technology. IEEE Trans Nanotechnol 16:639–652. https://
doi.org/10.1109/TNANO.2017.2700626
Li H, Shi W, Song J, Jang H-J, Dailey J, Yu J, Katz HE (2019) Chemical and biomolecule sensing
with organic field-effect transistors. Chem Rev 119:3–35. https://doi.org/10.1021/acs.chemrev.
8b00016
Liang B, Liu Y, Xu Y (2014) Silicon-based materials as high capacity anodes for next generation
lithium ion batteries. J Power Sources 267:469–490. https://doi.org/10.1016/j.jpowsour.2014.
05.096
Liao Q, Si W, Zhang J, Sun H, Qin L (2023) In situ silver nanonets for flexible stretchable electrodes.
Int J Mol Sci 24:9319. https://doi.org/10.3390/ijms24119319
Lienig J, Scheible J (2020) Introduction. In: Fundamentals of layout design for electronic circuits.
Springer International Publishing, Cham, pp 1–29. https://doi.org/10.1007/978-3-030-39284-0_
1
Lin Y, Yuan G, Sheehan S, Zhou S, Wang D (2011) Hematite-based solar water splitting: challenges
and opportunities. Energy Environ Sci 4:4862. https://doi.org/10.1039/c1ee01850g
Liu W, Speranza G (2019) Functionalization of carbon nanomaterials for biomedical applications.
C—J Carbon Res 5:72. https://doi.org/10.3390/c5040072
Liu D, Syms RRA (2014) NEMS by sidewall transfer lithography. J Microelectromech Syst
23:1366–1373. https://doi.org/10.1109/JMEMS.2014.2313462
Liu X, Wu Y, Malhotra Y, Sun Y, Ra Y, Wang R, Stevenson M, Coe-Sullivan S, Mi Z (2020)
Submicron full-color LED pixels for microdisplays and micro-LED main displays. J Soc Inf
Disp 28:410–417. https://doi.org/10.1002/jsid.899
Liu M, Junk Y, Han Y, Yang D, Bae JH, Frauenrath M, Hartmann J-M, Ikonic Z, Bärwolf F, Mai
A, Grützmacher D, Knoch J, Buca D, Zhao Q-T (2023) Vertical GeSn nanowire MOSFETs for
CMOS beyond silicon. Commun Eng 2:7. https://doi.org/10.1038/s44172-023-00059-2
Livi P, Shadmani A, Wipf M, Stoop RL, Rothe J, Chen Y, Calame M, Schönenberger C, Hierlemann
A (2014) Sensor system including silicon nanowire ion sensitive FET arrays and CMOS readout.
Sens Actuators B Chem 204:568–577. https://doi.org/10.1016/j.snb.2014.08.002
Ma S, Dahiya AS, Dahiya R (2023) Out-of-plane electronics on flexible substrates using inorganic
nanowires grown on high-aspect-ratio printed gold micropillars. Adv Mater 35. https://doi.org/
10.1002/adma.202210711
Mallavarapu A, Ajay P, Sreenivasan SV (2020) Enabling ultrahigh-aspect-ratio silicon nanowires
using precise experiments for detecting the onset of collapse. Nano Lett 20:7896–7905. https://
doi.org/10.1021/acs.nanolett.0c02539
Mandl B, Stangl J, Hilner E, Zakharov AA, Hillerich K, Dey AW, Samuelson L, Bauer G, Deppert
K, Mikkelsen A (2010) Growth mechanism of self-catalyzed group III−V nanowires. Nano Lett
10:4443–4449. https://doi.org/10.1021/nl1022699
Manoccio M, Esposito M, Passaseo A, Cuscunà M, Tasco V (2020) Focused ion beam processing
for 3D chiral photonics nanostructures. Micromachines (Basel) 12:6. https://doi.org/10.3390/
mi12010006
Mao A, Ng HT, Nguyen P, McNeil M, Meyyappan M (2005) Silicon nanowire synthesis by a
vapor–liquid–solid approach. J Nanosci Nanotechnol 5:831–835. https://doi.org/10.1166/jnn.
2005.107
Mayer M, Scarabelli L, March K, Altantzis T, Tebbe M, Kociak M, Bals S, García de Abajo FJ,
Fery A, Liz-Marzán LM (2015) Controlled living nanowire growth: precise control over the
morphology and optical properties of AgAuAg bimetallic nanowires. Nano Lett 15:5427–5437.
https://doi.org/10.1021/acs.nanolett.5b01833
Meena JS, Sze SM, Chand U, Tseng T-Y (2014) Overview of emerging nonvolatile memory
technologies. Nanoscale Res Lett 9:526. https://doi.org/10.1186/1556-276X-9-526
84 R. K. Mishra et al.
Mertens H, Ritzenthaler R, Hikavyy A, Kim MS, Tao Z, Wostyn K, Chew SA, De Keersgieter
A, Mannaert G, Rosseel E, Schram T, Devriendt K, Tsvetanova D, Dekkers H, Demuynck S,
Chasin A, Van Besien E, Dangol A, Godny S, Douhard B, Bosman N, Richard O, Geypen J,
Bender H, Barla K, Mocuta D, Horiguchi N, Thean AV-Y (2016) Gate-all-around MOSFETs
based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk
Si substrates. In: IEEE symposium on VLSI technology. IEEE, pp 1–2.https://doi.org/10.1109/
VLSIT.2016.7573416
Mishra RK (2019) Graphene-based fibers and their application in advanced composites system.
In: Mukbaniani OV, Balköse D, Susanto H, Haghi AK (eds) Composite materials for industry,
electronics, and the environment, 1st edn. Apple Academic Press, USA, pp 3–23
Mishra RK, Cherusseri J, Bishnoi A, Thomas S (2017) Nuclear magnetic resonance spectroscopy.
In: Spectroscopic methods for nanomaterials characterization. Elsevier, pp 369–415. https://doi.
org/10.1016/B978-0-323-46140-5.00013-3
Mishra R, Chhalodia AK, Tiwari SK, Mochalin V, Bogdanowicz R, Pichot V, Bogdanowicz R,
Chang H-C, Huang Q, Schell A, Alkahtani M, Alkahtani M (2018) Recent progress in nanodi-
amonds: synthesis, properties and their potential applications. Veruscript Funct Nanomater
2:1–23. https://doi.org/10.22261/8W2EG0
Mohammad NS (2014) Understanding quantum confinement in nanowires: basics, applications
and possible laws. J Phys: Condens Matter 26:423202. https://doi.org/10.1088/0953-8984/26/
42/423202
More A, Pano V, Taskin B (2018) Vertical arbitration-free 3-D NoCs. IEEE Trans Comput Aided
Des Integr Circuits Syst 37:1853–1866. https://doi.org/10.1109/TCAD.2017.2768415
Mukesh S, Zhang J (2022) A review of the gate-all-around nanosheet FET process opportunities.
Electronics (Basel) 11:3589. https://doi.org/10.3390/electronics11213589
Nazir G, Rehman A, Park S-J (2020) Energy-efficient tunneling field-effect transistors for low-power
device applications: challenges and opportunities. ACS Appl Mater Interfaces 12:47127–47163.
https://doi.org/10.1021/acsami.0c10213
Nela L, Ma J, Erine C, Xiang P, Shen T-H, Tileli V, Wang T, Cheng K, Matioli E (2021) Multi-
channel nanowire devices for efficient power conversion. Nat Electron 4:284–290. https://doi.
org/10.1038/s41928-021-00550-8
Nguyen TTT, Cazimajou T, Legallais M, Arjmand T, Nguyen VH, Mouis M, Salem B, Robin E,
Ternon C (2019) Monolithic fabrication of nano-to-millimeter scale integrated transistors based
on transparent and flexible silicon nanonets. Nano Futures 3:025002. https://doi.org/10.1088/
2399-1984/ab1ebc
Oehler F, Gentile P, Baron T, Ferret P (2009) The effects of HCl on silicon nanowire growth:
surface chlorination and existence of a ‘diffusion-limited minimum diameter.’ Nanotechnology
20:475307. https://doi.org/10.1088/0957-4484/20/47/475307
Oh S-H, Hergenrother JM, Nigam T, Monroe D, Klemens FP, Kornblit A, Mansfield WM, Baker
MR, Barr DL, Baumann FH, Bolan KJ, Boone T, Ciampa NA, Cirelli RA, Eaglesham DJ,
Ferry EJ, Fiory AT, Frackoviak J, Garno JP, Gossmann HJ, Grazul JL, Green ML, Hillenius SJ,
Johnson RW, Keller RC, King CA, Kleiman RN, Lee JT-C, Miner JF, Morris MD, Rafferty CS,
Rosamilia JM, Short K, Sorsch TW, Timko AG, Weber GR, Wilk GD, Plummer JD (2000) 50
nm vertical replacement-gate (VRG) pMOSFETs. In: International electron devices meeting.
Technical Digest. IEDM (Cat. No.00CH37138), IEEE, pp 65–68. https://doi.org/10.1109/IEDM.
2000.904260
Oh S, Shi Y, del Valle J, Salev P, Lu Y, Huang Z, Kalcheim Y, Schuller IK, Kuzum D (2021)
Energy-efficient Mott activation neuron for full-hardware implementation of neural networks.
Nat Nanotechnol 16:680–687. https://doi.org/10.1038/s41565-021-00874-8
Ohmagari S, Koizumi S, Tsubouchi N, Barjon J, Haenen K, Pernot J (2018) Doping and semicon-
ductor characterizations. In: Power electronics device applications of diamond semiconductors.
Elsevier, pp 99–189. https://doi.org/10.1016/B978-0-08-102183-5.00002-9
2 Nanowire-Based Si-CMOS Devices 85
Ortega S, Ibáñez M, Liu Y, Zhang Y, Kovalenko MV, Cadavid D, Cabot A (2017) Bottom-up
engineering of thermoelectric nanomaterials and devices from solution-processed nanoparticle
building blocks. Chem Soc Rev 46:3510–3528. https://doi.org/10.1039/C6CS00567E
Pan F, Chen C, Wang Z, Yang Y, Yang J, Zeng F (2010) Nonvolatile resistive switching memories-
characteristics, mechanisms and challenges. Prog Nat Sci: Mater Int 20:1–15. https://doi.org/
10.1016/S1002-0071(12)60001-X
Park S-O, Jeong H, Park J, Bae J, Choi S (2022) Experimental demonstration of highly reliable
dynamic memristor for artificial neuron and neuromorphic computing. Nat Commun 13:2888.
https://doi.org/10.1038/s41467-022-30539-6
Pi X (2012) Doping silicon nanocrystals with boron and phosphorus. J Nanomater 2012:1–9. https://
doi.org/10.1155/2012/912903
Potié A, Baron T, Latu-Romain L, Rosaz G, Salem B, Montès L, Gentile P, Kreisel J, Roussel H
(2011) Controlled growth of SiGe nanowires by addition of HCl in the gas phase. J Appl Phys
110. https://doi.org/10.1063/1.3610409
Prasad SVS, Mishra RK, Gupta S, Prasad SB, Singh S (2021) Introduction, history, and origin of
two dimensional (2D) materials. In: Materials horizons: from nature to nanomaterials.https://
doi.org/10.1007/978-981-16-3322-5_1
Puglisi RA, Bongiorno C, Caccamo S, Fazio E, Mannino G, Neri F, Scalese S, Spucches D, La
Magna A (2019) Chemical vapor deposition growth of silicon nanowires with diameter smaller
than 5 nm. ACS Omega 4:17967–17971. https://doi.org/10.1021/acsomega.9b01488
Radamson HH, Zhu H, Wu Z, He X, Lin H, Liu J, Xiang J, Kong Z, Xiong W, Li J, Cui H, Gao J,
Yang H, Du Y, Xu B, Li B, Zhao X, Yu J, Dong Y, Wang G (2020) State of the art and future
perspectives in advanced CMOS technology. Nanomaterials 10:1555. https://doi.org/10.3390/
nano10081555
Raghvendra KM (2018) Nanostructured biomimetic, bioresponsive, and bioactive biomaterials. In:
Fundamental biomaterials: metals. Elsevier, pp 35–65. https://doi.org/10.1016/B978-0-08-102
205-4.00002-7
Raman S, Ravi Sankar A, Sindhuja M (2023) Advances in silicon nanowire applications in energy
generation, storage, sensing, and electronics: a review. Nanotechnology 34:182001. https://doi.
org/10.1088/1361-6528/acb320
Ramesh S, Ivanov T, Putcha V, Alian A, Sibaja-Hernandez A, Rooyackers R, Camerotto E, Milenin
A, Pinna N, El Kazzi S, Veloso A, Lin D, Lagrain P, Favia P, Collaert N, De Meyer K (2017)
Record performance top-down In0.53Ga0.47As vertical nanowire FETs and vertical nanosheets.
In: IEEE international electron devices meeting (IEDM). IEEE. 17.1.1–17.1.4. https://doi.org/
10.1109/IEDM.2017.8268406
Rance GA, Marsh DH, Bourne SJ, Reade TJ, Khlobystov AN (2010) Van der Waals interactions
between nanotubes and nanoparticles for controlled assembly of composite nanostructures. ACS
Nano 4:4920–4928. https://doi.org/10.1021/nn101287u
Rao J, Zhou Y, Fan M (2018) Revealing the interface structure and bonding mechanism of coupling
agent treated WPC. Polymers (Basel) 10:266. https://doi.org/10.3390/polym10030266
Rao D, Pillai AIK, Garbrecht M, Saha B (2023) Scandium nitride as a gateway III-nitride semicon-
ductor for both excitatory and inhibitory optoelectronic artificial synaptic devices. Adv Electron
Mater 9:2200975. https://doi.org/10.1002/aelm.202200975
Ray SK, Katiyar AK, Raychaudhuri AK (2017) One-dimensional Si/Ge nanowires and their
heterostructures for multifunctional applications—a review. Nanotechnology 28:092001. https://
doi.org/10.1088/1361-6528/aa565c
Rigante S, Scarbolo P, Wipf M, Stoop RL, Bedner K, Buitrago E, Bazigos A, Bouvet D, Calame
M, Schönenberger C, Ionescu AM (2015) Sensing with advanced computing technology: fin
field-effect transistors with high-k gate stack on bulk silicon. ACS Nano 9:4872–4881. https://
doi.org/10.1021/nn5064216
Robert B, Nallathambi G (2020) A concise review on electrospun nanofibres/nanonets for filtration
of gaseous and solid constituents (PM2.5) from polluted air. Colloid Interface Sci Commun
37:100275. https://doi.org/10.1016/j.colcom.2020.100275
86 R. K. Mishra et al.
Rouhi N, Jain D, Burke PJ (2011) High-performance semiconducting nanotube Inks: progress and
prospects. ACS Nano 5:8471–8487. https://doi.org/10.1021/nn201828y
Ruano JM, Glidle A, Cleary A, Walmsley A, Aitchison JS, Cooper JM (2003) Design and fabrication
of a silica on silicon integrated optical biochip as a fluorescence microarray platform. Biosens
Bioelectron 18:175–184. https://doi.org/10.1016/S0956-5663(02)00170-7
Saleh S, Koldehofe B (2022) On memristors for enabling energy efficient and enhanced cognitive
network functions. IEEE Access 10:129279–129312. https://doi.org/10.1109/ACCESS.2022.
3226447
Schmidt V, Wittemann JV, Senz S, Gösele U (2009) Silicon nanowires: a review on aspects of their
growth and their electrical properties. Adv Mater 21:2681–2702. https://doi.org/10.1002/adma.
200803754
Serre P, Mongillo M, Periwal P, Baron T, Ternon C (2015a) Percolating silicon nanowire networks
with highly reproducible electrical properties. Nanotechnology 26:015201. https://doi.org/10.
1088/0957-4484/26/1/015201
Serre P, Stambouli V, Weidenhaupt M, Baron T, Ternon C (2015b) Silicon nanonets for biological
sensing applications with enhanced optical detection ability. Biosens Bioelectron 68:336–342.
https://doi.org/10.1016/j.bios.2015.01.012
Sha R, Basak A, Maity PC, Badhulika S (2022) ZnO nano-structured based devices for chemical
and optical sensing applications. Sens Actuators Rep 4:100098. https://doi.org/10.1016/j.snr.
2022.100098
Shakthivel D, Taube W, Raghavan S, Dahiya R (2015) VLS growth mechanism of Si-nanowires for
flexible electronics. In: 11th conference on Ph.D. research in microelectronics and electronics
(PRIME). IEEE, pp349–352. https://doi.org/10.1109/PRIME.2015.7251407
Shao M, Ma DDD, Lee S (2010) Silicon nanowires—synthesis, properties, and applications. Eur J
Inorg Chem 2010:4264–4278. https://doi.org/10.1002/ejic.201000634
Sharma E, Rathi R, Misharwal J, Sinhmar B, Kumari S, Dalal J, Kumar A (2022) Evolution in
lithography techniques: microlithography to nanolithography. Nanomaterials 12:2754. https://
doi.org/10.3390/nano12162754
Smeeton T, Humphreys C (2017) Perspectives on electronic and photonic materials. pp 1–1. https://
doi.org/10.1007/978-3-319-48933-9_1
Spinelli A, Compagnoni C, Lacaita A (2017) Reliability of NAND flash memories: planar cells and
emerging issues in 3D devices. Computers 6:16. https://doi.org/10.3390/computers6020016
Srivastava SK, Singh P, Srivastava A, Prathap P, Kumar S, Rauthan CMS, Aswal DK (2020) Nanos-
tructured black silicon for efficient thin silicon solar cells: potential and challenges. pp 549–623.
https://doi.org/10.1007/978-981-15-6116-0_18
Sun X, Fang R, Zhu Y, Zhong X, Bian Y, Guan Y, Miao M, Chen J, Jin Y (2016) Measurement-
based electrical characterization of through silicon vias and transmission lines for 3D integration.
Microelectron Eng 149:145–152. https://doi.org/10.1016/j.mee.2015.10.010
Taha TB, Barzinjy AA, Hussain FHS, Nurtayeva T (2022) Nanotechnology and computer science:
trends and advances. Memories—Mater Dev Circuits Syst 2:100011. https://doi.org/10.1016/j.
memori.2022.100011
Ternon C, Serre P, Lebrun J-M, Brouzet V, Legallais M, David S, Luciani T, Pascal C, Baron
T, Missiaen J-M (2015) Low temperature processing to form oxidation insensitive electrical
contact at silicon nanowire/nanowire junctions. Adv Electron Mater 1:1500172. https://doi.org/
10.1002/aelm.201500172
Thomas S, Thomas R, Zachariah AK, Mishra RK (2017) Microscopy methods in nanomaterials
characterization. In: Thomas S, Thomas R, Zachariah AK, Mishra RK (eds) Microscopy methods
in nanomaterials characterization, 1st edn. Elsevier, p 432. https://doi.org/10.1016/B978-0-323-
46141-2.01001-4
Thornton PR (1980) Electron physics in device microfabrication. II electron resists, X-ray lithog-
raphy, and electron beam lithography update. pp 69–139. https://doi.org/10.1016/S0065-253
9(08)60097-9
2 Nanowire-Based Si-CMOS Devices 87
Yin G, Cai Y, Wu J, Duan Z, Zhu Z, Liu Y, Wang Y, Yang H, Li X (2021) Enabling lower-power
charge-domain nonvolatile in-memory computing with ferroelectric FETs. IEEE Trans Circuits
Syst II Express Briefs 68:2262–2266. https://doi.org/10.1109/TCSII.2021.3049844
Yu H-D, Regulacio MD, Ye E, Han M-Y (2013) Chemical routes to top-down nanofabrication.
Chem Soc Rev 42:6006. https://doi.org/10.1039/c3cs60113g
Zafar S, D’Emic C, Jagtiani A, Kratschmer E, Miao X, Zhu Y, Mo R, Sosa N, Hamann H, Shahidi
G, Riel H (2018) Silicon nanowire field effect transistor sensors with minimal sensor-to-sensor
variations and enhanced sensing characteristics. ACS Nano 12:6577–6587. https://doi.org/10.
1021/acsnano.8b01339
Zahoor F, Hussin FA, Isyaku UB, Gupta S, Khanday FA, Chattopadhyay A, Abbas H (2023) Resis-
tive random access memory: introduction to device mechanism, materials and application to
neuromorphic computing. Discover Nano 18:36. https://doi.org/10.1186/s11671-023-03775-y
Zaouk R, Park BY, Madou MJ (2005) Introduction to microfabrication techniques. In: Microfluidic
techniques. Humana Press, New Jersey, pp 3–16. https://doi.org/10.1385/1-59259-997-4:3
Zhang Q, Yin H, Meng L, Yao J, Li J, Wang G, Li Y, Wu Z, Xiong W, Yang H, Tu H, Li J, Zhao C,
Wang W, Ye T (2018) Novel GAA Si nanowire p-MOSFETs with excellent short-channel effect
immunity via an advanced forming process. IEEE Electron Device Lett 39:464–467. https://doi.
org/10.1109/LED.2018.2807389
Zhang Z, Wang Z, Shi T, Bi C, Rao F, Cai Y, Liu Q, Wu H, Zhou P (2020) Memory materials and
devices: from concept to application. InfoMat 2:261–290. https://doi.org/10.1002/inf2.12077
Zhang H, Min JW, Gnanasekar P, Ng TK, Ooi BS (2021a) InGaN-based nanowires development
for energy harvesting and conversion applications. J Appl Phys 129. https://doi.org/10.1063/5.
0035685
Zhang A, Lee J-H, Lieber CM (2021b) Nanowire-enabled bioelectronics. Nano Today 38:101135.
https://doi.org/10.1016/j.nantod.2021.101135
Zhu H (2017) Semiconductor nanowire MOSFETs and applications. In: Nanowires—new insights.
InTech. https://doi.org/10.5772/67446
Chapter 3
Carbon Nanotube FETS: An Alternative
for Beyond Si Devices
Shailendra K. Tripath
Introduction
The scaling of silicon-based transistors to ever-smaller sizes has recently been the
driving force behind the shrinking of electronic devices. Alternative materials and
device topologies are, however, being investigated as the limits of silicon-based
devices are approached. One such substitute can be the CNFET which offers a
number of benefits over conventional silicon-based devices. The usage of CNFETs
in non-silicon devices will be covered in this chapter. CNFETs or carbon nanotube
field-effect transistors carbon nanotubes (CNTs) are used as the channel mate-
rial in CNFETs. CNTs are carbon-atom-based cylindrical tubes with lengths up
to several microns and diameters on the order of nanometers. They have great
S. K. Tripath (B)
Department of Physics and Materials Science, Jaypee University, Anoopshahr, Bulandshahr, Uttar
Pradesh, India
e-mail: [email protected]
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 89
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_3
90 S. K. Tripath
The phrase “Moore’s law” refers to the gradual reduction of the horizontal and
vertical feature sizes of CMOS transistors made of silicon. The primary driving
force behind the development of today’s advanced electronic device technology has
been this enormous endeavor. At the end of this decade, according to the International
Technology and Roadmap for Semiconductors (ITRS), it will eventually cross the
border at a size of about 22 nm. It denotes that CMOS transistors are getting closer
to the limits of atomistic and quantum mechanical physics (Ieong et al. 2004). The
design of CMOS devices and circuits takes a number of difficulties into account.
Due to these difficulties, designers were compelled to create novel technologies
like CNFET, nanowire field-effect transistors (NWFET), and other technologies that
goes beyond CMOS. The difficulties are detailed below. With the continued growth
of MOS transistors, these problems are becoming more and more prevalent (Nowak
2002; Ellinger et al. 2011).
(a) Physical challenges
(b) Material challenges
(c) Power-thermal challenges
(d) Technology challenges
(e) Economic Challenges.
Numerous impacts arise in the MOSFET nanoscale regime that essentially limits
the performance among the broad categories of CMOS scaling problems outlined
3 Carbon Nanotube FETS: An Alternative for Beyond Si Devices 91
above (Kuhn 2012; Asra et al. 2011; Lababidi et al.; Low and Zhang 2012). These
effects include interconnect delays, short channel effects, high field effects, and thin
gate oxide tunneling issues.
Further, the market is looking for replacement components and materials that
works with the current CMOS technology (Ghani 2009). Additionally, the biggest
obstacle to sustained system integration is energy efficiency. Research into alter-
native “beyond-CMOS” technologies has been compelled by problems with tran-
sistor scaling in combination with demands for low-power dissipation. It has been
suggested that the traditional MOSFET will be replaced in the future by field-effect
transistors (FETs) with carbon nanotubes (CNTs) deposited in the channel region.
The CNFET, also known as a carbon nanotube field-effect transistor, has the poten-
tial to replace CMOS in future (Usmani and Hasan 2009; Ale et al. 2010; Imran
and Azam 2012). The CNT differs from MOSFET in a number of ways including
better mobility in CNT, greater drive current, and simpler high-k dielectric material
integration (Karmani et al. 2011).
Sumio Iijima, a Japanese scientist, made the discovery of the carbon nanotube (CNT)
in 1991. CNTs are grapheme (a type of carbon) nanostructures with exceptional elec-
trical, thermal, and mechanical capabilities. Depending on how the carbon atoms are
arranged within the twist of the CNTs (Chirality), they can either be metallic or
semiconducting. Additionally, if small energy is required to push an electron to an
unoccupied excited level, a CNT is considered to be metallic. On the other hand, the
CNT is referred to as semiconducting if there is a limited energy difference between
the vacant state and occupied state (Shabrawy et al. 2010; Patil et al. 2009a; Bandaru
2007). In comparison with MOSFETs, the CNT has a number of unique characteris-
tics including better carrier mobility in semiconductor tubes, larger driving currents,
and simpler integration of high-k dielectric materials. As shown in Fig. 3.1, the
carbon nanotubes typically are of two types: (a) single-walled CNTs (SWCNTs) and
(b) multi-walled CNTs (MWCNTs). The SWCNTs are cylindrically rolled grapheme
layer tubes. The length ranges from 0.2 to 5 µm, while the diameter is between 1 and
2 nm. MWCNTs are revealed as coaxial tubes with SWCNT layers. Their interlayer
spacing is approximately 0.36 nm and their diameter ranges from 2 to 25 nm. While
SWCNTs are more expensive and perform better than MWCNTs, MWCNTs are
simpler to make in large quantities (Javey et al. 2003; Reilly 2007).
On the basis of chiral vector (C) and chiral angel, there are three different types
of carbon nanotubes. If m = n and θ = 0, a carbon nanotube is referred to as zigzag.
If m = 0 and θ = 30 as seen in Fig. 3.2, CNT is said to be chiral type and known
as armchair if chiral vector m n and chiral angel lies between 0 and 30. The crucial
variables that depends on the diameter DCNT, number of tubes (N), and pitch (S) of
a carbon nanotube are also shown in Eqs. (3.1)–(3.4).
92 S. K. Tripath
√
a n2 + m2 + nm
DCNT = (3.1)
π
aVπ
Vth = √ (3.2)
qDCNT 3
W = (N − 1) ∗ S + DCNT (3.3)
0.84 eV
g= (3.4)
DCNT
In this case, a = 2.49 A (the lattice constant), m and n denote the indices of
Graphene lattice’s chiral vector. Further, q is the charge of electron, and V denotes
carbon-bond energy (3.033 eV).
The literature has discussed the ongoing development of CNFET model and
performance estimate. The benefits and practicality of CNFET over regular MOSFET
are clear, but the outcomes of circuits using CNFET are sufficient to outperform
traditional MOSFETs (Possani et al. 2012). The literature has published the research
papers based on the CNT/CNFET (Imran and Azam 2012; Raychowdhury et al.
2004; Deng and Wong 2007b; Hayat et al. 2013a; Fregonese et al. 2008; Khan and
Zaidi 2000). Quantum confinement in both axial and circumferential directions is
one of the non-idealities that Deng et al. predicted. The intrinsic CNFET with (19,
0) CNT is expected to provide a 13-time C-V/I improvement over the bulk n-type
MOSFET at the 32-nm node (Deng and Wong 2007b).
Elastic scattering in the channel area, the Schottky barrier resistance, the resistive
source–drain, and the parasitic gate capacitance was all incorporated in the HSPICE
compatible model utilized by Deng et al. The findings were far more intriguing
than CMOS. The energy consumption was 7 times lower, the energy delay product
was 15–20 times lower, and the CNFET circuits with 1–10 CNTs per device were
approximately 2–10 times quicker (Deng and Wong 2007a).
Using a SPICE model, Hayat et al. investigated the RF characteristics of a CNFET-
based circuit. A CNFET-based circuit has a transconductance (gm ) that is 2.7 times
greater than CMOS. Additionally, the inverter circuit is projected to operate 10 times
more quickly than traditional MOS circuits (Hayat et al. 2013a). In order to predict
the CNFET threshold voltage distribution and propagation delay from simulation
of the ring oscillator circuit, a physics-based model of CNFET for MOSFET-like
functioning is provided. Other analog and digital building components’ performance
may be estimated with the aid of this approach (Fregonese et al. 2008).
Circuit-compatible models for single-walled semiconducting CNFETs were
proposed by Raychowdhury et al. for the first time. The I-V and C-V relations for a
simple CNFET circuit were given while considering model non-idealities. This model
was subsequently developed to predict how CNFET-based circuits will perform in
the literature (Raychowdhury et al. 2004). Imran et al. presented a second-generation
current conveyor (CCII) utilizing a CNFET and discovered that a number of metrics
including band width and input output impedances (RX, RY, & RZ) were superior
to those of the circuits based on CMOS. In contrast to 13.1 GHz of CMOS, the
current band width of a circuit based on CNFETs was 26.7 GHz. Investigations on
the impact of diameter variation have also been conducted (Imran and Azam 2012;
Imran et al. 2012). Usmani et al. studied that carbon nanotube-based amplifier offers
superior amplification over CMOS and described a carbon nanotube-based amplifier.
Additionally, a hybrid design that combines PMOS and nCNFET was suggested that
demonstrated a superior frequency response (Khan and Zaidi 2000).
3 Carbon Nanotube FETS: An Alternative for Beyond Si Devices 95
The literature (Dwyer et al. 2004; Deng and Wong 2007b) presents the simulation
work on CNFETs. The models show improved performance outcomes compared
to CMOS circuits. In 2007, Deng put out a comprehensive device model that was
compatible with a single-walled CNFET’s intrinsic channel area. The model takes
into account non-idealities such as the screening impact of parallel CNTs and the
quantum confinement effect on axial and circumferential directions. The findings
demonstrate an intrinsic CNFET’s 13-time CV/I improvement over bulk n-type
MOSFETs using 32-nm nodes (Kazmierski et al. 2009; Luo et al. 2013).
Sebastien (Fregonese et al. 2008) has also investigated a small physics-based
model for MOSFET-like CNFETs. With the help of a research of CNT diameter
96 S. K. Tripath
dispersion, the model also establishes the threshold voltage distribution. The non-
linear cubic spline approximation of the non-equilibrium mobile charge density
serves as the model’s foundation. The suggested model’s I-V properties are contrasted
with those of the current Stanford HSPICE model. The model displays improved
accuracy while using the same amount of CPU time. In this work, the perfor-
mance of both ballistic and non-ballistic effects was investigated. The exploration of
CNFET-based analog and digital circuits was greatly facilitated by the HSPICE-based
modeling (Kazmierski et al. 2009).
In 1998, the initial research on the creation of carbon nanotube-FETs has been
published on specific SWCNTS and MWCNTs. Starting at normal temperature, the
conduction seemed to diffuse but not ballistic. More than five orders of magnitude
might be added to the conductance of single-wall CNFETs. It has a larger carrier
density than graphite. Furthermore, structural deformation can attain FET-like char-
acteristics even if large diameter, multi-walled CNTs does not have a gate effect on it.
Additionally, Sander J. provided details on how to create a CNFET using SWCNTs
(Tans et al. 1998). The device runs at ambient temperature. Semi-classical band-
bending models can be used to explain transistor properties. In order to lower the
Schottky barrier, Javey et al. (2003) introduced the modification to the nanotube–
metal junction in 2003. Javey first experimented with the manufacturing of CNFETs
with ohmic contacts and high-K dielectrics by using CNTs on the connection.
Compared to CMOS, CNFET device has lower parasitic capacitances. The 45-nm
technology node is assumed to be consistent with the CNFET and MOSFET design
standards. An approach for improving the CNFET parameters is given in 2009 (Kim
et al. 2009). In terms of fan-out factor, latency and power consumption, this technique
produced the best results. The optimal pitch and quantity of CNTs were used in the
suggested approach that resulted in a 56% decrease in dynamic power and a 22%
reduction in latency. Kureshi and Hasan (2009) has also contrasted the features of
6 T SRAM cells based on CMOS and CNFET. According to the findings, CNFET
memory cells would have a 21% increase in reading static noise margin. Furthermore,
compared to CMOS design, leakage (standby) of carbon nanotube-FET cell is 84%
lower. Compared to MOS, SRAM employing carbon nanotube-FET is 1.84× quicker.
Additionally, Moaiyeri et al. (2011) has suggested a CNFET-based full-adder
to work on low VDD and high switching speed. Due to the use of just two CNT
pass-transistors, the circuit has a short critical path. The work also made use of the
ability of CNT diameter change to modify the CNFET device’s threshold voltage.
3 Carbon Nanotube FETS: An Alternative for Beyond Si Devices 97
Conclusion
References
Asra R, Shrivastava M, Murali KVRM, Pandey RK, Gossner H, Rao VR (2011) A tunnel FET
for scaling below 0.6 V with a CMOS-comparable performance. IEEE Trans Electron Devices
58(7):1855–1863
Avouris P, Appenzeller J, Martel R, Wind SJ (2003) Carbon nanotube electronics. Proc IEEE
91(11):1772–1784
Bandaru PR (2007) Electrical properties and applications of carbon nanotube structures. J Nanosci
Nanotechnol 7(4–5):4–5
Deng J, Wong H-SP (2006) A circuit-compatible SPICE model for enhancement mode carbon
nanotube field effect transistors. In: International conference on simulation of semiconductor
processes and devices. IEEE, pp 166–169
Deng J, Wong H-SP (2007a) A compact SPICE model for carbon nanotube field-effect transistors
including non-idealities and its application part II: full device model and circuit performance
benchmarking. IEEE Trans Electron Devices 54(12):3195–3205
Deng J, Wong H-SP (2007b) A compact SPICE model for carbon nanotube field-effect transistors
including nonidealities and its application part I: model of the intrinsic channel region. IEEE
Trans Electron Devices 54(12):3186–3194
Dresselhaus MS, Dresselhaus G, Eklund PC, Rao AM (2000) Carbon nanotubes. In: The physics
of fullerene-based and fullerene-related materials. Springer, pp 331–379
Dresselhaus MS, Dresselhaus G, Charlier J-C, Hernandez E (2004) Electronic, thermal and
mechanical properties of carbon nanotubes. Philos Trans R Soc A 362(1823):2065–2098
Dwyer C, Cheung M, Sorin DJ (2004) Semi-empirical spice models for carbon nanotube-FET logic.
In: 4th IEEE conference on nanotechnology. pp 386–388
Ellinger F, Claus M, Schroter M, Carta C (2011) Review of advanced and beyond CMOS FET tech-
nologies for radio frequency circuit design. In: SBMO/IEEE MTT-S international microwave
and optoelectronics conference (IMOC). IEEE, pp 347–351
Fedawy M, Fikry W, Alhenawy A, Hassan H (2012) IV characteristics model for ballistic single
wall carbon nanotube field effect transistors (SW-CNTFET). In: IEEE international conference
on electronics design, systems and applications (ICEDSA). IEEE, pp 10–13
Franklin AD, Luisier M, Han S-J, Tulevski G, Breslin CM, Gignac L, Lundstrom MS, Haensch W.
Sub-10 nm carbon nanotube transistor. Nano Lett 12(2):758–762
Fregonese S, d’Honincthun HC, Goguet J, Maneux C, Zimmer T, Bourgoin J-P, Dollfus P, Galdin-
Retailleau S (2008) Computationally efficient physics-based compact CNTFET model for circuit
design. IEEE Trans Electron Devices 55(6):1317–1327
Ghani T (2009) Challenges and innovations in nano-CMOS transistor scaling. Capturado em: http://
download.intel.com/technology/silicon/NeikeiPresentation2009TahirGhani.pdf
Guo J, Hasan S, Javey A, Bosman G, Lundstrom M (2005) Assessment of high-frequency
performance potential of carbon nanotube transistors. IEEE Trans Nanotechnol 4(6):715–721
Haensch W, Nowak EJ, Dennard RH, Solomon PM, Bryant A, Dokumaci OH, Kumar A, Wang
X, Johnson JB, Fischetti MV (2006) Silicon CMOS devices beyond scaling. IBM J Res Dev
50(4.5):339–361
Haron NZ, Hamdioui S (2008) Why is CMOS scaling coming to an END? In: 3rd international
design and test workshop. IDT 2008. IEEE, pp 98–103
Hayat K, Cheema HM, Shamim A (2013) Potential of carbon nanotube field effect transistors for
analogue circuits. J Eng 1(1)
Ieong M, Doris B, Kedzierski J, Rim K, Yang M (2004) Silicon device scaling to the sub-10-nm
regime. Science 306(5704):2057–2060
Imran A, Azam M (2012) Impact of CNT’s diameter variation on the performance of CNFET dual-X
CCII. Int J Comput Appl 56
Imran A, Pable SD, Hasan M (2010) A comparative study of CMOS & CNFET based current
conveyor at 32nm technology node. In: International conference on computer and communica-
tion technology (ICCCT). IEEE, pp 276–281
3 Carbon Nanotube FETS: An Alternative for Beyond Si Devices 99
Imran A, Hasan M, Islam A, Abbasi SA (2012) Optimized design of a 32-nm CNFET-based low-
power ultrawideband CCII. IEEE Trans Nanotechnol 11(6):1100–1109
Javey A, Guo J, Wang Q, Lundstrom M, Dai H (2003) Ballistic carbon nanotube field-effect
transistors. Nature 424(6949):654–657
Javey A, Guo J, Farmer DB, Wang Q, Wang D, Gordon RG, Lundstrom M, Dai H (2004) Carbon
nanotube field-effect transistors with integrated ohmic contacts and high-k gate dielectrics. Nano
Lett 4(3):447–450
Karmani M, Khedhiri C, Hamdi B (2011) Design and test challenges in Nano-scale analog and
mixed CMOS technology. Int J VLSI Des Commun Syst (VLSICS) 2
Kazmierski TJ, Zhou D, Al-Hashimi BM (2009) Hspice implementation of a numerically efficient
model of cnt transistor. In: Forum on specification and design languages. FDL 2009. IEEE, pp
1–5
Khan IA, Zaidi MH (2000) Multifunctional translinear-C current-mode filter. Int J Electron
87(9):1047–1051
Kim YB (2011) Integrated circuit design based on carbon nanotube field effect transistor. Trans
Electr Electron Mater (TEEM) 12(5):175–188
Kim YB, Kim Y-B, Lombardi F (2009) A novel design methodology to optimize the speed and
power of the cntfet circuits. In: 52nd IEEE international midwest symposium on circuits and
systems. MWSCAS’09. IEEE, pp 1130–1133
Kuhn KJ (2011) CMOS scaling for the 22nm node and beyond: device physics and technology. In:
International symposium on VLSI technology, systems and applications (VLSITSA). IEEE, pp
1–2
Kuhn KJ (2012) Considerations for ultimate CMOS scaling. IEEE Trans Electron Devices
59(7):1813–1828
Kureshi AK, Hasan M (2009) Performance comparison of CNFET-and CMOS based 6t SRAM cell
in deep submicron. Microelectron J 40(6):979–982
Lababidi M, Natarajan K, Sun G Emerging research devices: a study of CNTFET and SET as a
replacement for SiMOSFET
Lin Y-M, Appenzeller J, Chen Z, Avouris P (2007) Electrical transport and 1/f noise in
semiconducting carbon nanotubes. Physica E 37(1):72–77
Low CG, Zhang Q (2012) From bulk TFETs to CNT-TFETs: status and trends. In: Carbon nanotubes
and their applications. p 221
Luo J, Wei L, Lee C-S, Franklin AD, Guan X, Pop E, Antoniadis DA, Wong HP (2013) Compact
model for carbon nanotube field-effect transistors including nonidealities and calibrated with
experimental data down to 9-nm gate length. IEEE Trans Electron Devices 60(6):1834–1843
Moaiyeri MH, Jahanian A, Navi K (2011) Comparative performance evaluation of large FPGAs
with CNFET-and CMOS-based switches in nanoscale. Nano-Micro Lett 3(3):178–177
Nowak EJ (2002) Maintaining the benefits of CMOS scaling when scaling bogs down. IBM J Res
Dev 46(2.3):169–180
Patil N, Lin A, Myers ER, Ryu K, Badmaev A, Zhou C, Wong H-SP, Mitra S (2009a) Wafer-
scale growth and transfer of aligned single-walled carbon nanotubes. IEEE Trans Nanotechnol
8(4):498–504
Patil N, Deng J, Mitra S, Wong H-SP (2009b) Circuit-level performance benchmarking and
scalability analysis of carbon nanotube transistor circuits. IEEE Trans Nanotechnol 8(1):37–45
Possani T, Severo LC, Girardi A (2012) Automatic design of micropower carbon nanotube
operational transconductance amplifiers. In: SIM-South symposium on microelectronics
Rahman F, Zaidi AM, Anam N, Akter A (2011) Performance evaluation of a 32-nm CNT-
OPAMP: design, characteristic optimization and comparison with CMOS technology. In: 14th
international conference on computer and information technology (ICCIT). IEEE, pp 583–588
Raychowdhury A, Mukhopadhyay S, Roy K (2004) A circuit-compatible model of ballistic
carbon nanotube field effect transistors. IEEE Trans Comput-Aided Des Integr Circuits Syst
23(10):1411–1420
100 S. K. Tripath
Reilly RM (2007) Carbon nanotubes: potential benefits and risks of nanotechnology in nuclear
medicine. J Nucl Med 48(7):1039–1042
Shabrawy KE, Maharatna K, Bagnall D, Al-Hashimi BM (2010) Modeling SWCNT bandgap and
effective mass variation using a Monte Carlo approach. IEEE Trans Nanotechnol 9(2):184–193
Singh A, Khosla M, Raj B (2016) Comparative analysis of carbon nanotube field effect transistor and
nanowire transistor for low power circuit design. J Nanoelectron Optoelectron 11(3):388–393
Sinha SK, Chaudhury S (2013) Impact of oxide thickness on gate capacitance—a comprehen-
sive analysis on MOSFET, nanowire FET, and CNTFET devices. IEEE Trans Nanotechnol
12(6):958–964
Tans SJ, Verschueren ARM, Dekker C (1998) Room-temperature transistor based on a single carbon
nanotube. Nature 393(6680):49
Usmani FA, Hasan M (2009) Novel hybrid CMOS and CNFET inverting amplifier design for area,
power and performance optimization. In: 2nd international workshop on electron devices and
semiconductor technology. IEDST’09. IEEE, pp 1–5
Usmani FA, Hasan M (2010) Carbon nanotube field effect transistors for high performance analog
applications: an optimum design approach. Microelectron J 41(7):395–402
Wong H-SP, Akinwande D (2011) Carbon nanotube and graphene device physics. Cambridge
University Press
Chapter 4
Graphene-Based Devices for Beyond
CMOS Applications
Basanta Bhowmik
Abstract Graphene, a single-layer thick atomic planner sheet with densely packed
honeycomb crystal lattice having excellent electronic, optoelectronic and mechanical
properties is grabbing worldwide market in the area of electronics, home appliances
and medical field. The present book chapter emphasizes on graphene-based field
effect transistor devices towards different applications. It comprehensively reviewed
the synthesis of graphene, properties of graphene and fabrication of graphene nanos-
tructure (nanowire, nanoribbons)-based devices. Mostly, single and bilayer graphene-
based field effect transistor structures have been discussed with special emphasis
on logic and radio frequency applications. Further, use of graphene transistor for
switching and electronics applications have been taken into consideration to prove
the potentiality of the material. Challenges for synthesis of graphene with difficul-
ties to fabricate field effect devices towards different application and their probable
potential solution to mitigate the issues for future opportunities have been illustrated.
Introduction
Graphene behaves as an emerging and promising material since its discovery and
possess unique properties such as high charge carrier mobility at room temperature of
about 200,000 cm2 /v-sec, velocity 1/300 of the speed of light, large specific surface
area (2630 m2 g−1 ), carrier density ~ 1012 cm−2 with resistivity of 10–6 Ω (Banerjee
et al. 2010; Yuan and Shi 2013; Obeng and Srinivasan 2011; Nag et al. 2018; Singh
and Singh 2016; Wu et al. 2018; Peres 2009; Novoselov et al. 2007; Balandin 2011;
Ovid’ko 2013). Graphene possesses low resistivity at room temperature having 2D
honeycomb structures consisting of single-layer sp2 carbon atom (Yuan and Shi 2013;
B. Bhowmik (B)
Thin Film Devices Laboratory, Department of Electronics and Communication Engineering,
National Institute of Technology, Jamshedpur 831014, India
e-mail: [email protected]
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 101
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_4
102 B. Bhowmik
Obeng and Srinivasan 2011). High mobility properties of graphene attracted world-
wide attention for the high frequency applications employing MOSFET devices.
In addition, the unique band structure, fast transport properties with greater stability
makes it suitable material for high frequency CMOS and beyond CMOS device appli-
cations (Lee et al. 2008; Jia et al. 2011; Khan et al. 2016; Choi et al. 2010; Park et al.
2011; Kim et al. 2016a, 2015; Lee et al. 2012b; Liu et al. 2015; Basu et al. 2014; Liao
et al. 2010; Abergel et al. 2010; Zhan et al. 2014; Schwierz 2010a, 2010b; Schwierz
et al. 2010; Chen et al. 2008; Lemme et al. 2014). Also, it has applications in solar
cells, liquid crystal devices, fabrication of transistors, analog and digital devices
such as voltage and power amplifiers, noise amplifiers, etc. Pure graphene is made
up of 2D sheets that are bonded by carbon atoms to form hexagonal crystal lattice.
In the last decade, graphene has been widely used to develop gas sensor due to its
superior properties like high surface to volume ratio, distinctive nanopores structure,
excellent carrier mobility, unique electrical, thermal and optical properties with good
mechanical strength (Peres 2009; Novoselov et al. 2007; Balandin 2011; Ovid’ko
2013; Lee et al. 2008; Jia et al. 2011; Khan et al. 2016; Choi et al. 2010; Park et al.
2011; Kim et al. 2016a; Lee et al. 2012b; Liu et al. 2015; Basu et al. 2014; Liao et al.
2010). Single-layer graphene sheet is sufficient enough for adsorbing gas molecules
as it provides large sensing area per unit volume. Graphene offers low electrical
noise because of its crystal lattice along with 2D structure. Such properties make it
very reactive towards gases as few amount of extra electrons may cause change in
conductance of graphene. Therefore, small change in resistance of graphene sheet
due to adsorption of gas molecule is easily noticeable (Liao et al. 2010; Kim et al.
2015; Abergel et al. 2010; Zhan et al. 2014; Schwierz 2010a, 2010b, 2014; Schwierz
et al. 2010; Chen et al. 2008; Lemme et al. 2014; Koswatta et al. 2011; Lin et al. 2010;
Lee et al. 2012a; Wang et al. 2010; Petrone et al. 2013; Radsar et al. 2021; McCann
2006; Rhee 2020; Lemme 2009; Hong et al. 2011; Pradhan et al. 2016; Szkopek
and Martel 2021; Shi et al. 2018; Nakamura et al. 2022; Randviir et al. 2014; Singh
and Nalwa 2015). In recent times, graphene is used in industries for CMOS design.
Performance of integrated circuits can be improved by minimization of the CMOS
size. Size minimization not only reduces power consumption but also increases the
processing speed. IBM engineers designed 10,000 times faster chip using graphene.
For achieving high-performance CMOS chip, silicon carbide (SiC) wafer is better
choice to deposit graphene layer. Conventional CMOS possess some drawbacks
such as gate leakage, source to drain leakage, channel mobility degradation due to
increased dynamic power dissipation, device-to-device variations and leakage due
to tunnelling at high doping level. System design using CMOS non-planer (3D)
technology tremendously reduces the power consumption and offers faster speed
and area overhead (Randviir et al. 2014; Singh and Nalwa 2015; Mahmoudi et al.
2018; Cohen-Karni et al. 2010; Kim et al. 2018; Van Bavel 2019; Kim et al. 2016b;
Gil-Tomàs et al. 2019). Apart from gas sensors, graphene was broadly used in light
emitting diodes, nano-generators, solar cells and photodetectors (Kim et al. 2015;
Abergel et al. 2010; Zhan et al. 2014; Schwierz 2010a, 2010b, 2014; Schwierz et al.
2010; Chen et al. 2008; Lemme et al. 2014; Koswatta et al. 2011; Lin et al. 2010; Lee
et al. 2012a; Wang et al. 2010; Petrone et al. 2013; Radsar et al. 2021; McCann 2006;
4 Graphene-Based Devices for Beyond CMOS Applications 103
Rhee 2020; Lemme 2009; Hong et al. 2011; Pradhan et al. 2016; Szkopek and Martel
2021; Shi et al. 2018; Nakamura et al. 2022; Randviir et al. 2014; Singh and Nalwa
2015; Mahmoudi et al. 2018; Cohen-Karni et al. 2010). Among these applications,
solar cells and photo detectors require multilayer graphene layer to enhance light
absorbing efficiency where pristine pure monolayer graphene is sufficient enough
to react with target molecules. In solar or photo-detector applications, thin mono-
layer of graphene does not absorb sufficient light for converting light into electrical
energy. Graphene with zero band gap possibly provides lower lifetime for an excita-
tion which may affect the photo-responsivity (Wu et al. 2018). Further, higher dark
current is induced in case of graphene-based photodetectors. Such drawbacks can
be reduced by hybridization of high mobility graphene with quantum dots of large
quantum efficiency. Hybridization with nanostructure offers superior properties like
spectral tenability, higher carrier mobility, higher light absorption capability and
faster charge transfer between graphene and quantum dots heterojunction interface
which possibly promotes the generation of photocarrier (Wu et al. 2018). In addition,
graphene has been widely used in supercapacitors, cells and batteries as anode due
to its large strength-to-weight ratio, large surface area and less charging time. It is
also used in catalysis, nanoelectronics and biomedical engineering applications due
to its unique properties (Peres 2009).
Graphene
Properties of Graphene
to bulk graphite counterpart, its better thermal properties make them highly prefer-
able material than the bulk one. The mechanical properties of a crystalline lattice
structure of pure graphene depend on structural defects like dislocations and grain
boundaries for macroscopic samples along with fracture toughness (Ovid’ko 2013).
For a defect less single-layer graphene, the interaction between the atoms causes
elastic properties and is considered to be the strongest material. One of the reasons
for extraordinary mechanical properties of graphene are stable sp2 bonds forming
the hexagonal crystal lattice (shown in Fig. 4.1) and oppose n-plane deformations
(Balandin 2011). Elastic properties of the monolayer graphene and their intrinsic
strength have been studied by Lee et al. (2008). In their studies, arrays of circular
wells were patterned on Si substrate with SiO2 grown on it. Graphite monolayer flakes
were deposited mechanically on the substrate over circular pore to create uniform
free-standing membranes. Mechanical properties of free-standing membrane were
investigated through AFM analysis. AFM study reveals nonlinear elastic properties
with brittle fracture in graphene. Upon application of tensile load on graphene, it
produces nonlinear elastic response which is correlated as σ = Eε + Dε2 where
D = third-order elastic modulus, σ = Piola–Kirchhoff stress (applied stress), ε =
Lagrangian strain (elastic strain) and E = Young’s modulus (Ovid’ko 2013; Lee et al.
2008). The exceptional electronic properties of graphene are due to the fact that the
electrons present in graphene possess long mean free paths but it does not disturb
the interactions between electrons and disorders. Electrons that propagate through
honeycomb lattice structure of graphene lose their effective mass thereby producing
a quasi-particle described by the Dirac equation (Ovid’ko 2013; Lee et al. 2008).
Charge carriers in graphene obey liner dispersion relation which is similar to mass-
less relativistic particles that can be described by Dirac Hamiltonian H = −ihvF σ ∇F
where vF (Fermi velocity) = 10 m/s and σ = Pauli matrices (Novoselov et al. 2007).
According to Peres et al. in case of bulk graphene, the Dirac spectrum mainly controls
the behaviour of the transport properties (Peres 2009) (Fig. 4.2).
Fabrication of Graphene
Fig. 4.1 Schematic showing graphene zigzag and armchair edges with XPS and Raman spec-
troscopy (reuse with permission from reference (Kim et al. 2018), Copyright © 2018 American
Chemical Society)
Fig. 4.2 a FESEM of graphene flakes with circular holes (partially covered area I, fully covered
area II and fractured from indentation area III, b atomic force microscopy of one membrane in
noncontact mode (diameter = 1.5 mm), c graphene membrane on circular holes are forces for nano-
indentation, d fractured membrane observed through atomic force microscopy, e nano-indentation
set up of graphene over hole synthesized through CVD process, f FESEM image of suspended
graphene over holes, and g curve showing the force as a function displacement in nano-indentation
test; inset shows the AFM image of suspended graphene film before and after fracture (reuse with
permission from reference (Al-Quraishi et al. 2020), copyright © 2020, Taylor & Francis Group)
106 B. Bhowmik
by Jia et al., hydrazine plays an important role in removing oxygen from graphene
nanoribbons which improves the electrical conductivity in it (Jia et al. 2011). To the
similar note, Choi et al. reported the use of hydrophobicity of graphite oxide and
exfoliating it in liquid phase by ultrasonication in aqueous solution to reduce the
film in H6 N2 O at 100 °C for 24 h (Choi et al. 2010). However, it was found that
there was partial reduction and still had some amount of oxygen. Thermally induced
chemical vapour deposition (CVD) proved to be most potential process to reliably
synthesize high-quality large number of single-layer graphene layers as explained by
Khan et al. (2016). Even though the CVD method generates uniform graphene films,
the transfer and patterning of graphene frequently results in the appearance of wrin-
kles and physisorbed polymer residues. As a result, the roughness and morphology
of graphene electrodes have an impact on how effectively organic thin film transis-
tors can perform. In this process, a metal substrate was used that acted as a catalyst.
The carbon precursor used in this method was methane and ethylene where the
molecules form either gas phase at low pressure or liquid phase at high temperature.
Such forms were made for interacting with the substrate surface. Carbon atoms free
from functionalities can be easily attached to the surfaces with the help of suitable
precursor. Diffusion of carbon atoms on the substrate surface leads to the formation
of graphene layers. It was also used for preparing graphene nanoribbons in large
quantities at less time. Graphite filaments of 10 mm length and 10–200 nm thickness
were produced by decomposing CO/H2 /Fe(CO)5 at 400–700 °C as reported by Jia
et al. (2011). TEM image showed formation of uniform graphene sheets where edges
of graphene looks like loop structure when annealing was carried out at 2800 °C.
One can slice graphene sheets to get graphene nanoribbons using scanning tunnelling
microscopy lithography technique. Plasma enhanced chemical vapour deposition is
another method for production of graphene. For example, Choi et al. reported forma-
tion of thick graphite like carbon on Si wafer by dc discharge PECVD method (Choi
et al. 2010). The substrate Mo, W, Ni, etc., are popular substrates with gas mixture
of 0–25% H2 and CH4 . The gas pressure was maintained at 10–150 Torr for the
formation of graphite-like carbon. Solution-based techniques are adopted in order
to avoid the use of substrate (Khan et al. 2016). This method offers considerable
flexibility in terms of compatibility with chemical functionalization and is advan-
tageous for high-yield production. Single-layer graphene sheets with high grade
quality can be obtained from colloidal dispersion of graphite or graphite intercalation
compounds. Colloidal suspension of graphene sheets having horizontal dimensions
of few hundred nm can be obtained by mixing graphite powder in an organic solvent
like N-methylpyrrolidine. It was observed that such graphene sheets offered elec-
trical conductivity of 6500 Sm−1 when optical transparency of 42% was applied.
Self-assembly with layer-by-layer approach for functionalized graphene nanosheets
was used by Park et al. to fabricate graphene thin films (Park et al. 2011). Modified
hummers method synthesized graphene oxide from graphite powder. The dispersion
of carboxylic acid-functionalized graphene nanosheets was combined with hydrazine
and heated to 80 °C for 1 h. Plasma-treated quartz substrate was used to convert the
surface polarity with negatively charge. Slide stainer with programmable facility was
employed to form charged graphene nanosheets in layer-by-layer assembly process.
4 Graphene-Based Devices for Beyond CMOS Applications 107
Such graphene thin films offer sheet resistance (Rsh ) ~ 1.4 k/sq under 80% light
transmittance and proved to have better electrical properties.
The first structure of graphene-based transistor that has been reported theoretically
and experimentally was the Schottky barrier type (Kim et al. 2016a). The other
popular topologies such as asymmetric gate, double gate, single gate, dual mate-
rial gate have been explored till date. Graphene has wide applications in electronics
particularly in field effect transistors (FETs) as it is one atomic layer thick and
thus shows superior performance for high speed and high frequency applications
like digital logic applications. Favourable properties of graphene-based TFTs which
attracted worldwide researchers are high carrier mobility, high mechanical flexi-
bility, highly stability at higher temperature, presence of both electron and hole
conduction, high ON–OFF current ratio, high optical transparency and low contact
resistance (Banerjee et al. 2010; Yuan and Shi 2013; Obeng and Srinivasan 2011;
Nag et al. 2018; Singh and Singh 2016). Graphene field effect transistors can be
back gated, top-gated and back-top dual-gated (Nag et al. 2018; Singh and Singh
2016; Wu et al. 2018; Peres 2009; Novoselov et al. 2007). Organic thin film transis-
tors have attained much research due to their potential to produce low-cost, flexible
and light weight electronic devices (Kim et al. 2016a). Recently graphene-based
vertical field effect transistors have gained much interest for high driving current, high
speed of operation, high flexibility, scalability and 3D integration (Wu et al. 2018;
Peres 2009; Novoselov et al. 2007; Balandin 2011; Ovid’ko 2013). It overcomes
the limitations like high temperature activation, high energy ion implementation
and ultraviolet lithography processes for fabricating short channels in transistors.
Structurally, it is different from conventional planner TFTs. Kim et al. reported a
macroscopic model for terminal current simulation of the graphene heterojunction
FET that can be used for applications with low driving voltage, large transconduc-
tance gain and high current density (Kim et al. 2016a). Their work demonstrated
quasi-diode model where gate induced electric field injects the charge from fully
depleted source towards drain through graphene layer. Bottom-gated graphene TFT
(shown in Fig. 4.3a) fabricated on plastic substrates employing graphene oxide as
the gate dielectric demonstrates high optical transmittance with good mechanical
flexibility (Lee et al. 2012b). Here, CVD process was used to fabricate monolayer
graphene sheet which was transferred onto a SiO2 /Si wafer. Reactive ion etching
under oxygen ambient and photolithography were used to create the gate patterns for
the films. The photoresist patterned gate dielectric area was covered with a graphene
oxide gate dielectric layer using the LB method which was subsequently removed
under extremely high vacuum (Lee et al. 2012b). On graphene oxide, the mono-
layer graphene was formed and patterned to serve as the channel. Without using
conventional metal electrodes, the graphene film was monolithically patterned to
provide the source, drain and channels. Similarly, Liu et al. also studied vertical
108 B. Bhowmik
Fig. 4.3 a Bottom-gated graphene/GO transistor [reuse with permission from reference (Lee
et al. 2012b)] and b cross-sectional Si back-gated device structure where graphene as channel
and Au used to form source electrodes and drain electrodes (reuse with permission from reference
(Liu et al. 2015), Copyright © 2015 American Chemical Society)
Nanowire field effect transistors also known as surround gate field effect transis-
tors comprises of a thin nanowire embedded in the channel of the transistor (Liao
et al. 2010; Kim et al. 2015). This helps in improved carrier mobility than traditional
Si technology for excellent thermal conductivity with high carrier velocity for fast
switching (Kim et al. 2015). According to numerous studies, a common drawback of
top-gated graphene transistors is its higher access resistance that results from imper-
fect alignment of gate electrodes, drain junction and source junction (Nag et al.
4 Graphene-Based Devices for Beyond CMOS Applications 109
2018; Singh and Singh 2016; Wu et al. 2018; Balandin 2011). This can be espe-
cially harmful for short channel devices. The development of self-aligned nanowire
graphene transistors for high-speed application may overcome the limitations of stan-
dard device production methods that frequently result in imperfections in the mono-
layer of carbon lattices. To the similar note, CO2 Si–Al2 O3 core–shell nanowire-based
graphene transistor having self-aligned top-gate reduces the imperfection in lattice
structure (Liao et al. 2010). Self-aligned approach in device fabrication enhances the
device performance by preventing from such lattice degradation. A device with core–
shell nanowire as gate on top of graphene was studied by Liao et al. (2010). Nanowire
diameter was used as the channel length in their study and can be controlled up to
10 nm regime without deviation in graphene high carrier mobility (Liao et al. 2010).
The smaller diameter leads the charge carrier’s inversion changing from surface to
bulk because of quantum confinement. Recently, hybrid nanostructures of graphene-
metal have attracted researchers because of their low electrical resistance, high trans-
mittance and excellent mechanical flexibility and stretchability. Kim et al. fabricated
graphene-based field effect transistors where channel was formed by graphene layer
between source and drain without any physical discontinuity (Kim et al. 2015). The
channel length was varied between 10 and 110 µm and channel width was 5 µm.
The device showed ambipolar behaviour of graphene with ≈ 20–30 V as the positive
charge neutrality points. The charge carrier mobility of graphene for having channel
length 70 µm was found to be 2925 ± 78 cm2 V−1 s−1 (Kim et al. 2015) (Fig. 4.4).
The carbon atoms in bilayer graphene can be stacked in a variety of patterns including
rhombohedral (ABC stacking), Bernal (AB stacking), and hexagonal (AA stacking)
(Choi et al. 2010). It comprises stacking two monolayers with quasiparticles being
large chiral fermions. It features a quadratic low energy band structure that generates
scattering properties that are distinct from those of the monolayer (Abergel et al.
2010). Massive Dirac fermions properties were evident in bilayer graphene where
mass of charge carriers is finite (Peres 2009; Novoselov et al. 2007; Balandin 2011;
Ovid’ko 2013; Lee et al. 2008; Jia et al. 2011; Khan et al. 2016; Choi et al. 2010).
Neutral bilayer graphene has low energy band structure without any gap that shows
a wide range of second-order phenomena (Choi et al. 2010; Abergel et al. 2010). It
has certain properties that are similar to monolayer graphene like very high electron
mobility and high mechanical stability. Bilayer graphene possesses parabolic bands
having all the properties of gapless semiconductors (Choi et al. 2010; Liao et al.
2010). It also possesses a property that has tunable band gap by variation of potential
difference between the two layers and can be easily controlled by a top gate or
by external doping. Asymmetry between the two layers of bilayer graphene can be
achieved by biasing of gate voltage which changes the carrier concentrations at the
channel. This technique leads to the formation of semiconducting gap in bilayer
graphene as well as restoration of normal anomalous quantum hall effect (Choi
110 B. Bhowmik
Fig. 4.4 a Schematic of graphene and SiNW devices on integrated circuits, b Single layer graphene
FET image in optical study, c SiNW-Gra-FET d Raman spectrum of the graphene (reuse with
permission from reference (Cohen-Karni et al. 2010), Copyright © 2010 American Chemical
Society)
et al. 2010; Kim et al. 2015). Such unique feature of tunability along with excellent
electron mobility and easy fabrication techniques has led to many applications of
bilayer graphene.
Substrates can be of two types, viz (i) nonconductive bendable substrates like
polymers, papers, and textiles to help the active materials that must have the ability
to deform under stress/strain, and (ii) conductive substrates that are highly conductive
like carbon nanotubes and graphene films. Properties of electromechanical devices
can be influenced by the quality of graphene and the substrate over which it is
deposited. There are certain metals like Pd, Ni, and Co that can easily be attached
to graphene, whereas some other materials such as Au, Pt, Cu, Ag and Al do not
combine easily on graphene (Zhan et al. 2014). Zhan et al. reported on Cu and Ni as
preferred substrate material possibly due to the good matching of Cu and Ni lattice
constants with the graphene lattice (Zhan et al. 2014). However, atomic structures of
Cu and Ni may alter the structure of the graphene, cohesive energy and strength (Zhan
et al. 2014). Graphene and metal substrates junction can be beneficial for tuning the
interfacial properties. Zhan and co-workers also reported that effective gating from
substrates is inferior to effective doping from the underlying substrate. In addition, the
interaction between single-layer graphene with substrate leads to charge exchange
at the interface that creates dipole. Movement of such dipole and their direction
depends on the contact potential developed across the graphene-substrates junction
4 Graphene-Based Devices for Beyond CMOS Applications 111
(Lee et al. 2012b; Liu et al. 2015; Basu et al. 2014; Liao et al. 2010; Kim et al. 2015;
Abergel et al. 2010; Zhan et al. 2014).
Fig. 4.5 Graphene nanoribbon FET based a NOT Gate and b NAND Gate (reuse with permission
from reference (Gil-Tomàs et al. 2019), Copyright © 2019 by the authors. Licensee MDPI, Basel,
Switzerland)
depicts mobilities of 10,000–15,000 cm2 V−1 s−1 . The highest range of mobilities
was found to be 40,000–70,000 cm2 V−1 s−1 (Chen et al. 2008). It was investigated
that at high fields, the electron velocity does not reduce drastically in graphene and
the nanotube as compared to the iii–v semiconductors (Schwierz 2010a). Conse-
quently, graphene and nanotubes appear to be superior to traditional semiconductors
in terms of high-field transfer.
Graphene possesses high charge carrier mobility which is advantageous for obtaining
fast switching as well as ‘on’ current (I ON ). It induces a large off current because
of zero band gap (Lemme et al. 2014). FET used in RF applications is generally
on-state biased (Koswatta et al. 2011). Weak RF signal to be amplified must be
fed into the transistor input. Amplification of the input signal can be augmented
through the increase in current gain as well as power gain which decreases with the
increase in frequency (Lemme et al. 2014). The performance of the RF transistor
is decided by the cut-off frequency ( f T ) for that current gain magnitude reduced to
unity and the maximum oscillation frequency ( f max ) at the point where power gain
equals unity (Lemme et al. 2014). Power gain and f max are prominent parameters
in RF applications than current gain and f T . The fastest graphene MOSFET having
240 nm gate was reported to have higher cut-off frequency of f T = 100 GHz than the
same gate length of silicon MOSFETs (Lin et al. 2010). RF MOSFET suffers from
unsatisfying saturation behaviour which effect transistor cut-off frequency, intrinsic
gains, etc. (Schwierz 2010a; Lin et al. 2010), while silicon MOSFETs can operate
with weak current saturation (Schwierz 2010a).
4 Graphene-Based Devices for Beyond CMOS Applications 113
Fig. 4.6 a Showing schematic of TGFET structure, b FESEM of TGFETs where graphene was
deposited between the two metal strips (Al, Pt) electrodes, c resistance of the TGFETs as a function
of gate voltage; inset showing formation of P-N-P junction corresponding Fermi level change at the
graphene junction under different gate voltage and d drain current versus gate voltage (reuse with
permission from reference (Kim et al. 2016b). This work is licensed under a Creative Commons
Attribution 4.0 International License.)
with its width (Radsar et al. 2021). At room temperature, 5-nm-width graphene
nanoribbons offer an energy band gap of 0.5 eV. The graphene nanoribbons are clas-
sified into two types: (i) armchair and (ii) zigzag edge terminated ribbons as shown in
Fig. 4.1a. Both of these types may be either semiconducting or semimetallic (Lemme
2009). In armchair ribbons, the shift from 2D graphene to 1D graphene results in the
development of a band gap via the quantum confinement process (Radsar et al. 2021;
McCann 2006; Rhee 2020; Lemme 2009). The band gap value also depends on the
atomic number ‘N’ across the ribbon. Nanoribbons with N = 11 is semi-metallic,
i.e. N is equal to 3 m−1 , while m is an integer whereas nanoribbons with atomic
numbers 12 and 13 mostly possess semiconducting nature (Lemme 2009).
4 Graphene-Based Devices for Beyond CMOS Applications 115
Graphene or graphene oxide (GO) and reduced graphene oxide (RGO)) are frequently
employed for switching memory fabrication due to its larger surface area and
better electrical and mechanical properties (Hong et al. 2011; Pradhan et al. 2016).
Graphene and related materials have been used for resistive switching memory.
Resistive switching memory offers higher speed at lower operating voltages. In
addition, switching devices possess excellent ON–OFF current ratio of the order
of 103 with brilliant flexibility without shortage of memory performance (Hong
et al. 2011; Pradhan et al. 2016). Graphene oxide is used as insulating layer in the
metal–insulator-metal capacitor structure of resistive memory. In this structure, to
increase the adherence of graphene oxide to the bottom electrode, indium tin oxide
(ITO) was placed on glass or a SiO2 substrate and subjected to UV radiations (Hong
et al. 2011). For maintaining lower operating voltage on electronic switch, one has
to keep adequate ON/OFF current ratio of the devices. The monolayer graphene
with low elastic modulus and low adhesion energy was found to be perfect material
for electromechanical switches. Various two-terminal and three-terminal switches
have been designed employing graphene for MEMS/NEMS applications. 2T switch
has the advantage of simple structure, but it has some disadvantages such as oper-
ating voltage V DD is greater than pull in voltage V pi . The adhesion force (F adh )
which is proportional to contact area (Ac ) is difficult to control. 3T switches are
more complex structures that overcomes the limitation of 2T switch. 3T switch can
be actuated for both V G > 0 and V G < 0 in conventional FET structure (Szkopek
and Martel 2021). RGO is commonly utilized in applications involving non-volatile
switching memory due to its endurance, good retention, scalability and large surface
area properties. With an ON/OFF ratio of two orders of magnitude and an operating
threshold switching voltage of less than 1 V, the manufactured RGO metal memory
device displayed dazzling switching capabilities (Pradhan et al. 2016). Currently,
GO is used as a promising material for resistive random access memory applications
due to its good resistive switching performance, high flexibility and easy processing.
In this, 0 and 1 states are used to categorize high resistance states. High switching
uniformity assures reading and writing operation (Schwierz 2010b). Huge numbers
of oxygen-functional groups such as carbonyl, epoxide and hydroxyl groups are
present in graphene oxide and can move around in a strong electric field. There is a
limit to how much more oxygen-functional groups can exist because too much oxida-
tion would lead the stacked GO to decompose into quantum dots. Consequently, it
could be hard to attain complementary resistive switching by adjusting the amount of
oxygen defects that are accessible for the production of conducting filaments in GO
films (Shi et al. 2018). Graphene heater-based on-chip optical switches with great
speed and efficiency are another option. In the fabrication of optical switches, a near-
infrared camera was used to examine the graphene microheater’s direct interaction
with the resonator. The transmission spectra showed that this device had high heating
efficiency of 7.66 K m3 /mW and high wavelength tuning efficiency of 0.24 nm/mW.
At 100 kHz, they evaluated the real-time high-speed operation with response and
116 B. Bhowmik
recovery time of 1.2 µs and 3.6 µs, respectively. The graphene-based optical switch
was able to modulate signals at high speeds. It is expected that high-performance
silicon photonic and optoelectronic applications would utilize these graphene-based
optical switches on devices with high efficiency and speed (Nakamura et al. 2022).
Graphene Electronics
Fig. 4.7 CMOS technology scaling road map [following the reference (Bavel 2019)]
4 Graphene-Based Devices for Beyond CMOS Applications 117
conducting electrode like graphene has been the preferable choice by the researchers.
Graphene can be utilized as conductive electrode for solar cell as it possess highly
transparent properties. Power conversion efficiency of 10–15% is evident from
hybrid heterojunction solar cells consisting of graphene and inorganic semiconduc-
tors whereas perovskite solar cells fabricated with graphene alone offer efficiency
of 15.6% (Singh and Nalwa 2015). At the beginning of solar cell fabrication, ITO
and fluorine-doped tin oxide (FTO) were mostly used as electrodes but ITO and
FTO has a drawback of being sensitive to high and low pH with high cost because
of insufficient availability. It is also brittle in nature so that it can easily crack on
flexible substrates. In replacement, graphene is used as electrode in flexible organic
solar cells (Mahmoudi et al. 2018).
Conclusion
Being the gapless semiconductor, single-layer atom graphene sheets having linear
energy spectrum possess many unusual electronic properties such as inconsistent
quantum hall effect and non-appearance of Anderson localization. As the demand of
the electronic devices is becoming stringent in day to day life, more and more efforts
are required to be imposed to synthesize graphene with different nanostructures.
Fundamental research of graphene or composite form of graphene with other mate-
rials towards new applications requires understanding of integrated spectroscopy
characterizations techniques such as scanning tunnelling microscopy, transmission
electron microscopy and Raman spectroscopy. It was found that, at near Fermi level,
zigzag edges have high electron density states which distinguish them from the
armchair edges. As far as graphene nanostructure is concerned, graphene nanorib-
bons can be produced in large scale and can be proved to be a significant nanomate-
rial for the designing of logic gates and radio frequency devices. On the other hand,
graphene nanowires and quantum dots show the potentiality towards fabrication of
electronic switches due to their one-dimensional carrier flow through the confinement
path.
Acknowledgements This work is supported in part by Ministry of Micro, Small & Medium
Enterprises (MSME), Government of India, IDEA Heckathan 2022, Project ref No. IDEAJH003262.
References
Balandin AA (2011) Thermal properties of graphene and nanostructured carbon materials. Nat
Mater 10:569–581
Banerjee SK, Register LF, Tutuc E, Basu D, Kim S, Reddy D, MacDonald AH (2010) Graphene
for CMOS and beyond CMOS applications. Proc IEEE 98(12):2032–2046
Basu S, Lee MC, Wang Y-H (2014) Graphene-based electrodes for enhanced organic thin film
transistors based on pentacene. Phys Chem Chem Phys 16:16701–16710
Chen JH, Jang C, Xiao S, Ishigami M, Fuhrer MS (2008) Intrinsic and extrinsic performance limits
of graphene devices on SiO2 . Nature Nanotech 3:206–209
Choi W, Lahiri I, Seelaboyina R, Kang YS (2010) Synthesis of graphene and its applications: a
review. Crit Rev Solid State Mater Sci 35:52–71
Cohen-Karni T, Qing Q, Li Q, Fang Y, Lieber CM (2010) Graphene and nanowire transistors for
cellular interfaces and electrical recording. Nano Lett 10:1098–1102
Gil-Tomàs D, Gracia-Morán J, Saiz-Adalid LJ, Gil-Vicente PJ (2019) Fault modeling of graphene
nanoribbon FET logic circuits. Electronics 8:851
Hong SK, Kim JE, Kim SO, Cho BJ (2011) Analysis on switching mechanism of graphene oxide
resistive memory device. J Appl Phys 110:044506
Jia X, Campos-Delgado J, Terrones M, Meuniere V, Dresselhaus MS (2011) Graphene edges: a
review of their fabrication and characterization. Nanoscale 3:86–95
Khan ZU, Kausar A, Ullah H, Badshah A, Khan WU (2016) A review of graphene oxide, graphene
buckypaper, and polymer/graphene composites: properties and fabrication techniques. J Plast
Film Sheeting 32:336–379
Kim J, Lee M-S, Jeon S, Kim M, Kim S, Kim K, Bien F, Hong SY, Park J-U (2015) Highly transparent
and stretchable field-effect transistor sensors using graphene-nanowire hybrid nanostructures.
Adv Mater 27:3292–3297
Kim C-H, Hlaing H, Kymissis I (2016a) A macroscopic model for vertical graphene-organic
semiconductor heterojunction field-effect transistors. Org Electron 36:45–49
Kim Y, Kim SY, Noh J et al (2016b) Demonstration of complementary ternary graphene field-effect
transistors. Sci Rep 6:39353
Kim J et al (2018) Distinguishing zigzag and armchair edges on graphene nanoribbons by X-ray
photoelectron and Raman spectroscopies. ACS Omega 3:17789–17796
Koswatta SO, Valdes-Garcia A, Steiner MB, Lin Y-M, Avouris P (2011) IEEE Trans Microw Theory
Tech 59
Lee C, Wei X, Kysar JW, Hone J (2008) Measurement of the elastic properties and intrinsic strength
of monolayer graphene. Science 321:385–388
Lee J, Tao L, Hao Y et al (2012a) Embedded-gate graphene transistors for high-mobility detachable
flexible nanoelectronics. Appl Phys Lett 100:152104
Lee S-K, Jang HY, Jang S, Choi E, Hong BH, Lee J, Park S, Ahn J-H (2012b) All graphene-based
thin film transistors on flexible plastic substrates. Nano Lett 12:3472–3476
Lemme MC (2009) In: Kittler M, Richter H (eds) Solid state phenomena, vol 156–158. pp 499–509
Lemme M, Li L, Palacios T, Schwierz F (2014) Two-dimensional materials for electronic
applications. MRS Bull 39:711–718
Liao L, Lin Y-C, Bao M, Cheng R, Bai J, Liu Y, Qu Y, Wang KL, Huang Y, Duan X (2010)
High-speed graphene transistors with a self-aligned nanowire gate. Nature 467:305–308
Lin YM et al (2010) 100-GHz transistors from wafer-scale epitaxial graphene. Science
327(2010):662
Liu Y, Zhou H, Weiss NO, Huang Y, Duan X (2015) High-performance organic vertical thin film
transistor using graphene as a tunable contact. ACS Nano 9:11102–11108
Mahmoudi T, Wang Y, Hahn Y-B (2018) Graphene and its derivatives for solar cells application.
Nano Energy 47:51–65
McCann E (2006) Asymmetry gap in the electronic band structure of bilayer graphene. Phys Rev
B 74(16):161403
Nag A, Mitra A, Mukhopadhyay SC (2018) Graphene and its sensor-based applications: a review.
Sens Actuators A: Phys 270:177–194
4 Graphene-Based Devices for Beyond CMOS Applications 119
P. Subudhi
School of Advanced Sciences, Vellore Institute of Technology (VIT) University, Chennai 600127,
Tamil Nadu, India
D. Punetha (B)
Department of Electronics and Communication Engineering, Motilal Nehru National Institute of
Technology (MNNIT) Allahabad, Prayagraj, Uttar Pradesh 211004, India
e-mail: [email protected]
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 121
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_5
122 P. Subudhi and D. Punetha
Introduction
et al. 2013; Punetha and Pandey 2019b). Semi-conducting TMDs are one of the most
well-known types of TMDs; nonetheless, as their thickness decreases, they undergo
peculiar changes in their electrical band structure. When transition metal dichalco-
genides are thinned to an atomic monolayer, their bandgap shifts from indirect to
direct. Normally, these materials are found in bulk and have an indirect bandgap
(Mak et al. 2010). In bulk form, the “conduction band minimum” is at halfway along
the [-K symmetry lines, while the “valence band maximum” is at the [-point. The
states at the K-point are constant because the transition metal atoms have localized
d-orbitals. But in the case of chalcogen atoms, the states at the point are extremely
sensitive to the layer numbers since the p-orbitals of chalcogen atoms cause strong
interlayer coupling. The well-known transition metal dichalcogenide materials are
MoSe2 , WS2 , WSe2 , and MoS2 . The “band gap energies” of such TMDs are typi-
cally in the range of 1–2 eV (Kang et al. 2020). Other TMDs, such as PtSe2 and
HfS2 , also have distinctive electronic properties. In mono and bilayer form, PtSe2 ,
for instance, exhibits a “wide band gap range” of 0.3–1.2 eV, suggesting its potential
use as a broadband photodetector. Strong photoluminescence, “strong light-matter
interaction”, high “exciton binding energy”, and “valley polarization” are only a few
of the extraordinary qualities that monolayer TMDs have displayed (Mak et al. 2013;
Britnell et al. 1979).
Because of its high mobility, inherent band gap, and quantum confinement,
“monolayer molybdenum disulfide (MoS2 )” is proving to be a potential contender
for future nano and optoelectronics (Radisavljevic et al. 2011; Punetha et al. 2018).
“2D MoS2 -based FETs” will be extremely advantageous for electronics with lower
power requirements in “post-Si-based technologies”. Apart from its use in transis-
tors, MoS2 has demonstrated its effectiveness in Li-ion batteries, photocatalysts,
sensors, photodetectors, solar cells, and several other applications (Shukla et al.
2023a). For scalable applications, MoS2 and other TMD isomorphs have been thor-
oughly studied in this area (Bhandavat et al. 2012). MoS2 is an sp3 -bonded solid
structure composed of two Mo-S atoms covalently bonded in a “layered structure”
Fig. 5.1 Crystal structure of a layered MoS2 and b top and side view of the structure (He and Que
2016)
5 Other Potential 2-D Materials for CMOS Applications 125
with interlayer “van der Waals” interactions linking the single layers together. It
has a non-centrosymmetric structure with “long-range periodic order” (Splendiani
et al. 2010). MoS2 is primarily composed of hexagonal honeycomb arrangements
of atoms, much like graphene, with the Mo layer sandwiched between two S layers
that occupy separate sublattices. Within this configuration, every Mo atom possesses
a triangular prismatic coordination. The MoS2 unit cell was discovered to have a
vertical gap between S layers (“c”) of 3.11 Å and a lattice parameter (“a”) of 3.12 Å,
resembling a honeycomb (Ting et al. 2012). Nonetheless, depending on the number of
layers, MoS2 ’s band gap fluctuates from 0.8 to 1.8 eV, according to computer calcu-
lations and experimental methods. Because of the previously reported third-orbital
interactions, a variety of TMD materials share characteristics with MoS2 (Mattheiss
1973). However, in the visible to near-infrared light spectrum, carrier production
causes dimension-dependent band gap changes, which raises the possibility of using
these materials in ecologically benign solar energy conversion systems (Abrams and
Wilcoxon 2005).
Boron Nitride
Fig. 5.2 Illustration of boron nitride structural models: a interlayer stacking of BN and a “single
nanosheet of hexagonal BN nanosheets consisting of armchair and zigzag edges”; b represents
zigzag and armchair BN nanoribbons that resemble the nanostructures of graphene (García-Miranda
Ferrari et al. 2021)
Lately, several methods for producing 2-D materials have been proposed. Here, we
look at these procedures from the perspective of “CMOS integration”. Two different
approaches may be used to synthesize 2-D materials: top-down techniques and
bottom-up procedures. Using external energy sources like mechanical or ultrasonic
energy, the top-down strategy entails removing one or a few atomic layers from the
main material to create 2-D nanostructures. In contrast, the bottom-up approaches
create 2-D nanostructures by depositing atoms onto a substrate through chemical
and/or heat interactions. Mechanical exfoliation, chemical exfoliation, “chemical
synthesis processes”, and “thermal chemical vapor deposition” are the most popular
methods for creating “2-D nanostructures (CVD)”. For the synthesis of “2-D nanos-
tructures”, other techniques such as high-energy electron beam irradiation, carboth-
ermal reduction, laser ablation, and laser thinning have also been proposed. This
section provides a quick summary of the 2-D nanostructure synthesis methods,
emphasizing both their benefits and drawbacks. After that, a summary of the “top-
down and bottom-up synthesis” procedures used to create novel 2-D nanostructures
is given.
Top-Down Approach
Mechanical Exfoliation
Mechanical exfoliation uses force to remove many layers from the primary crystal.
One or more graphene layers were initially generated using this technique on a bulk
crystal of graphite (Shim et al. 1979). Because of its straightforward mechanism,
128 P. Subudhi and D. Punetha
adaptability, affordability, and ability to work with nearly any kind of 2D material,
mechanical exfoliation has become a very common procedure. Natural bulk crystals
can be used to create high-quality monolayers of 2D materials, however this is an
unscalable and time-consuming process (Yuan et al. 2015). “Scotch tape, ball milling,
roll milling, gel-assisted exfoliation, metal-assisted exfoliation”, and layer-resolved
splitting are examples of “mechanical exfoliation techniques” (Kang et al. 2020).
Since TMDs such as MoS2 in 2D form has the potential to replace silicon in upcoming
CMOS technology, several reports have demonstrated the successful synthesis of 2D
nanosheets using mechanical exfoliation. In the same vein, a minimal number of
reports have exhibited the process for boron nitride. The most popular mechanical
exfoliation technique that produces one to many layers of 2D crystalline flakes while
preserving the crystal’s structure and characteristics is the scotch tape method. The
performance of the first transistor made of a single-layer MoS2 flake was reported
using this technique (Radisavljevic et al. 2011). In this method, a small piece of scotch
tape is placed sticky side down onto the bulk 2D material and then gently lifted away.
To improve the adhesion between the substrate and thinner 2D material layers, the
tape is then gently pushed into position after being carefully put onto a substrate
(such as SiO2 ). The tape is carefully pulled off, exposing 2D material monolayers
on the substrate (Novoselov et al. 2005). In the case of MoS2 and all other TMD
materials, the interlayer bonds, like those in graphite, are nearly 100 times weaker
than “in-plane primary bonds”, making it easy to peel a single layer from the bulk
while keeping “in-plane bonds intact”. The optical properties of exfoliated layers
obtained using the scotch tape method can be analyzed using optical microscopy.
Further, combining “optical microscopy” or “transmission electron microscopy” with
“atomic force microscopy” reveals the exact number of exfoliated layers.
A gold-assisted exfoliation technique combines thermal release tape with the
gold layer that has been deposited (100–150 nm). Around 500 µm2 of the exfoliated
monolayer”, MoS2, may be found (Desai et al. 2016). When the procedure and
surface for the “gold exfoliation of TMDs” were investigated further, the STEM
imaging method revealed that the distance using which the “gold surface” and the
“connected top sulfur atom of MoS2 ” were separated was 3.5 Å. Given that this
distance is larger than the covalent bond between gold and sulfur (2.2 Å), a strong
van der Waals interaction rather than a chemical bond was used to establish contact
between the gold and sulfur atoms. High-quality exfoliation requires a pristine gold
layer because the binding energy between gold and MoS2 decreases quickly with
increasing distance between the two materials. This is consistent with the studies
where a longer period of air exposure to the gold surface resulted in a lower proportion
of monolayer MoS2 being transferred. By using DFT simulation, missing “gold
atoms” on the surface were also investigated (Velický et al. 2018). Researchers are
working to develop a wafer-scale exfoliation approach since the “Scotch tape” and
“metal-assisted exfoliation technologies” are not scalable. The layer-resolved 2D
material splitting approach is a novel methodology developed (Shim et al. 1979). A
WS2 monolayer with a 2-in. wafer size may be isolated using this method. Instead
of naturally generated “bulk crystal”, the exfoliation topic for this procedure was a
WS2 multilayer created using “chemical vapor deposition (CVD)” on a “sapphire
5 Other Potential 2-D Materials for CMOS Applications 129
substrate”. The CVD-grown sample has a top layer that is uneven and discontinuous.
However, the underlayers are a continuous, homogenous coating. By covering the
multilayer WS2 with a thick coating of Ni, the WS2 multilayer has been lifted off the
sapphire substrate. Later, a second, thick Ni layer was placed on the multilayer WS2 ’s
bottom, and each “WS2 monolayer” was separated from it. It is important to note
that the scotch tape approach produces higher-quality monolayer samples than the
LRS method since it employs naturally generated samples. It is important to mention
the thinning technique here, which involves removing layers to create a “thin film of
TMDs”. A few layers of TMD flakes are positioned on a substrate and are thinned
using heat energy or a laser. TMD flake from the top layer was sublimated using heat
energy. A thick exfoliated TMD is used to create a laser-produced monolayer TMD
by eliminating superfluous layers (Lu et al. 2013). This process allows for placement
and structural control of monolayer TMD, in contrast to conventional exfoliation
and thinning techniques. This technique may be used in unique structurally designed
devices.
Additionally, efforts have been made to produce hexagonal boron nitride
nanosheets (h-BNNSs) via mechanical exfoliation techniques (Pacilé et al. 2008).
Fabricating “monolayer h-BNNS” using “mechanical exfoliation” is a challenging
task due to the stronger interlayer bonds in h-BN compared to graphite. Some studies
propose producing abundant “mechanically exfoliated BNNS” and other “2D mate-
rials” via “low-energy ball milling” and combined ball milling with sonication.
Although the “ball milling technique” is useful for generating a significant amount
of 2D BNNS, the production of mono- to few-layered sheets through this method
is limited. Moreover, the “ball milling process” lacks consistency in controlling
the morphology of nanosheets and the occurrence of structural defects (Li et al.
2011; Kumar et al. 2023c). “Mechanical exfoliation” is a cost-effective method
for producing “2D materials” and is highly suitable for fundamental research. It
is commonly utilized for producing high-performance flexible devices. However, its
scalability and ability to cover large areas are limited, i.e., it is challenging to scale up
the production of 2D flakes through this method. Mechanical exfoliation can produce
single-layered TMD materials, but it is challenging to do so with single-layered
BNNS because h-BN has higher interlayer bonding energy than other materials that
have interlayer van der Waals bonds (García-Miranda Ferrari et al. 2021; Li et al.
2011; Mantri et al. 2023). Moreover, several other elements, including stoichiometry
and stacking orders, are essential to the efficient “fabrication of monolayer MX2
nanostructures” by “mechanical exfoliation”.
Chemical Exfoliation
Fig. 5.3 Schematic depicts the solvent-based exfoliation method (Kang et al. 2020)
a quicker and more controlled process (Zeng et al. 2011). A voltage is supplied
for the lithium intercalation process between “anodic lithium foil” and “cathodic
bulk TMD” in an electrolyte. The technique involves sandwiching Li+ ions between
the TMD layers. The “Li+ ion intercalated TMD bulk crystal” is stirred to produce
TMD nanosheets. This exfoliating procedure may cause the exfoliated material to
distort structurally. Lithium intercalation causes a charge transfer from “n-BuLi” to
the “TMD crystal”, which transforms the crystal’s original 2H structure into a 1T
structure (Shi et al. 2018). While the dosage of lithium is rising, this phase transition
is more advantageous. Nevertheless, an “annealing process or exposure to infrared
(IR) light” reverses this intercalation-induced phase transition (Eda et al. 2011; Fan
et al. 2015).
Fig. 5.4 Schematic illustrates the ion intercalation method: a depicting lithium intercalation and
exfoliation process and b showcasing electrochemical lithium intercalation for 2D materials (Kang
et al. 2020)
132 P. Subudhi and D. Punetha
The “Lithium (Li) intercalation” method for producing a single sheet of MoS2 was
developed by intercalating “Li+ ions” between the layers of MoS2 , which may then
be pushed to separate into monolayers to produce a stable MoS2 colloidal solution.
Bulk MoS2 crystals can be intercalated with Li by soaking them in a “butyllithium-
hexane solution” for a few days in a flask covered with inert gas (Eda et al. 2011).
Lix MoS2 is produced during this reaction, which may be completely recovered by
filtering and then washing with hexane to get rid of extra “lithium and organic waste”.
By executing a thermal annealing procedure, the intercalation-induced phase tran-
sition is completely undone, restoring the semiconductor characteristics of the orig-
inal MoS2 as seen by the presence of the band gap in “photoluminescence spectra”
(Eda et al. 2011). Similar to this, MoS2 monolayers have also been created using
different Fe/Co-based intercalation exfoliation techniques to serve as a substrate for
“magnetic materials” (Frindt et al. 1991). The gram-scale synthesis of 2D nano-
materials can benefit from the much desired, adaptable method of chemical exfo-
liation. However, it might be difficult to achieve a homogenous dispersion of 2D
materials since a successful dispersion depends on the particular “surface tension of
the solvent”. Like the previous example, a “Li+ ion-based intercalation” procedure
includes “physisorbed Li+ ions” at the surface, degrading the electrical and thermal
characteristics of the final products.
Bottom-Up Approach
Chemical Synthesis
undergoes rolling-out shape change to generate a 2D WS2 layer, as shown in Fig. 5.5.
In effect, a simultaneous decrease from oxide to sulfide occurred together with the
transition from nanorods to nanosheets. As a result, this study also demonstrated how
the continual heterogeneous transition from a 1D to a 2D nanostructure led to the
creation of significant strain in the final product. Moreover, this mechanism led to the
assembly of nanosheets into a multilayered structure due to van der Walls attraction
(Seo et al. 2007). The technique can create 2-D nanosheets of excellent quality with a
surface area of up to 100 nm in size. Moreover, the 2-D nanosheet’s open-edge shape
may be crucial in maintaining the high intercalation capacity, making this particular
restructured material a superior electrode.
Another study used the reaction of urea and boric acid to show how “2-D boron
nitride nanosheets (h-BNNS)” can be created chemically. Also, the “influence of the
molar ratios” of urea and boric acid was studied, with the conclusion that a higher
urea content in the reaction mixture resulted in fewer layers in the final product. As
a result, it was asserted that this technique is quite effective at managing the quantity
of BN layers generated (Nag et al. 2010). More thorough research is required into
the wet chemical synthesis manufacturing of alternative non-carbonaceous 2D TMD
materials. Chemical synthesis techniques themselves are very helpful since they
could be able to create 2D nanomaterials at the grams scale with fewer flaws and less
crystalline disorder than other techniques.
The microelectronics industry and a CMOS foundry rely heavily on CVD, which is
perhaps the most extensively researched method of depositing a film. It is a reason-
ably straightforward bottom-up growth technique with a flexible metal precursor
and a rather quick growth rate. “Chemical Vapor Deposition (CVD)” is a high-
temperature process for depositing materials onto substrates. For the synthesis of
“thin film coatings” of a variety of materials, including metals, “semiconductors, and
insulators”, CVD methods have been widely researched. Boron nitride nanosheets
and large-scale homogenous “2-D transition metal dichalcogenides” have both been
synthesized using the CVD method. By interacting sulfur vapor with thin metal
films at high temperatures in an inert environment, CVD is a fairly simple process
for making metal sulfides. “Large area 2-D MoS2 synthesis” within the MX2 group of
TMDs has been established using CVD techniques. Large area 2-D MoS2 synthesis
using CVD techniques has been accomplished for the MX2 group of TMDs. This
procedure converts a “molybdenum (Mo) thin film” into a 2D molybdenum sulfide
thin layer by exposing it to a flow of sulfur (S) vapor at high temperature and in
an inert environment. By first depositing a thin layer of Mo on the substrate and
then using the CVD technique, one study showed how to synthesize MoS2 on SiO2 /
Si. A CVD furnace containing a Mo-coated substrate and sulfur powder was used.
A steady flow of around 200 sccm of N2 was used to maintain the inertness of the
reaction environment. For 90 min, the temperature was raised to 750 C, allowing
Mo to combine with the evaporating sulfur to form the synthetic MoS2 coating on
SiO2 /Si. This is one of the earliest reports of a direct CVD approach to producing
“single and few-layered MoS2 on SiO2 /Si substrates”. The authors noted that there
is a predictable relationship between the substrate size and Mo thickness and the size
and thickness of the 2D MoS2 layer.
substrate. An example of a MoS2 source that serves as a target is shown in Fig. 5.6
(Rowley-Neale et al. 2018).
The main concept behind sputtering is that small fragments of the target material—
even single atoms—are sputter-blasted off a section of the target material by ions from
a gaseous plasma. These sputtered particles finally settle on the selected substrate
after exiting the chamber. A magnetic source is frequently integrated with the target to
limit the electrons to areas near the target’s surface. Any film may be sputtered using
this technique, known as magnetron sputtering, regardless of its melting temperature.
MoS2 and WS2 films have both been deposited by magnetron sputtering (Chen et al.
2021). The main issue with sputtered 2D films is that the material is polycrystalline
and frequently not stoichiometrically deposited. High polycrystallinity results in low
charge mobilities, approximately 0.0136 cm2 /Vs for bi-layer and 0.0564 cm2 /Vs for
five-layer MoS2 (Huang et al. 2016). During a high-temperature annealing stage, the
mobility may be increased to around 10 cm2 V −1 s −1 , which is still much less than
the mobilities attained for CVD or MBE-grown films (Muratore et al. 2019). The
advantages of sputtering for CMOS integration would thus be defeated by annealing
the material at high temperatures.
“Molecular-beam epitaxy (MBE)” has been employed since the 1960s for fabri-
cating CMOS devices. It enables large-scale epitaxial growth. Typically, an “ultra-
high vacuum (UHV)” is needed for the deposition, which generally falls below
“10−8 –10−12 Torr” (Tiefenbacher et al. 1994). The “molecular source for MBE”
epitaxial film growth came from “effusion cells”, which were heated or supplied
with a gas supply. No chemical reaction occurred during the creation of the “molec-
ular source”. Instead, the “chemical reaction” happened on the target substrate (as
136 P. Subudhi and D. Punetha
Fig. 5.7 a Schematic of MBE deposition system, b AFM image of MBE-grown MoS2 monolayer
(Kang et al. 2020)
shown in Fig. 5.7) (He et al. 2019). MBE can create a precise, “atomically thin
layer between epitaxy layers” by accurately manipulating the shutters of individual
effusion cells. The ability of the UHV environment to generate highly pure-grown
films is another benefit of employing MBE. Figure 5.7a depicts a typical MBE setup,
which includes Knudsen effusion cells that produce precursors by “heat evaporation”
in the form of a “molecular beam”. The substrate is kept in place by a holder and
is situated at the focal point of the “effusion cells”. “Reflection high-energy elec-
tron diffraction (RHEED)” is used to monitor the “film’s crystallinity” and growth
rate, and a residual gas analyzer (RGA) is used to detect the “partial pressure” of
the different “gas species” present in the chamber. “TMDs” and other elemental “2D
materials” have all been realized using MBE, which is a particularly effective method
for generating “high-quality crystalline 2D films”. MBE has also been used to create
lateral and vertical vdW heterostructures (Thomas et al. 2020).
One of the first scalable techniques for fabricating TMD monolayers is MBE.
Beginning in the 1980s, a monolayer of MoSe2 was created on a substrate of
CaF2 (111) (Koma et al. 1990). The addition of an additional molecular beam source
makes it possible to dope TMD. Therefore, MBE has the potential to fabricate
heterostructures with doped layers. MoS2 monolayer on h-BN has been accom-
plished (Fu et al. 2017). An AFM picture of a “seamless MoS2 monolayer grown
on an h-BN/sapphire wafer” is shown in Fig. 5.7b. This approach can produce TMD
monolayers on wafers, but it requires costly effusion equipment and takes around 10
hours to build MoS2 monolayers on 2-in. wafers (Fu et al. 2017).
As of right now, integrating MBE into a CMOS technological flow presents a
number of difficulties. Key issues for mass manufacturing are the high vacuum
requirements and high process sensitivity to minute changes. For this reason, tech-
nology is still primarily used to research the basic characteristics of different material
systems (Ugeda et al. 2014). But if these problems are solved and there are more
benefits than drawbacks to MBE integration in a CMOS foundry, this integration
5 Other Potential 2-D Materials for CMOS Applications 137
may happen in the near future. MBE’s utility in multi-wafer VCSEL synthesis has
already been demonstrated in a few cases, which might accelerate its transition to
mass-production CMOS foundries (Filipovic and Selberherr 2022).
Fig. 5.8 Schematic representation of the atomic layer deposition process of MoS2 (Ahn et al. 2021)
While evaluating the use of 2-D materials in future consumer and industrial applica-
tions, a number of issues should be taken into account. Because of their exceptional
mechanical strength and atomic thinness, they are perfect for high-performance flex-
ible electronics (Verma et al. 2020). They exhibit an indirect-to-direct bandgap tran-
sition in their electrical structure, which makes them attractive for optoelectronics
(Zhou et al. 2018). Their large surface-to-volume ratio offers great potential for
energy storage and sensing applications (Punetha et al. 2018; Wang et al. 2021).
Even if other roadmaps have comparable components, our primary focus will be
on the potential of 2-D materials as speed and functionality boosters in addition
to standard CMOS technology (Ferrari et al. 2015). Due to self-passivation, ultra-
thin nature, and high atomic thickness limit mobilities, “2-D materials” are excellent
candidates for this application. The basic technological processes for integrating 2-D
materials in the CMOS manufacturing flow are shown in Fig. 5.9. Selecting a few
items from this expanding library among the hundreds of 2D compounds that are
5 Other Potential 2-D Materials for CMOS Applications 139
Fig. 5.9 Roadmap for integration of 2D materials into CMOS applications (Resta et al. 2019)
2018). However, although some materials only exhibit “n-type or p-type conduc-
tion”, others combine the two, providing intriguing new avenues for the development
of doping-free devices and improved circuit design.
Characterization techniques are crucial for the majority of processing steps to
ensure yield and dependability. Wafer-scale characterization approaches are still in
their infancy, even if the existing 2-D material characterization allows for inves-
tigating various features. AFM, photoluminescence, and Raman spectroscopy are
the main methods used to assess the quality of 2-D materials (Splendiani et al.
2010; Binnig et al. 1986). For the creation of dependable and stable transistors, tech-
niques to comprehend interface qualities must be extensively established (Gaur et al.
2017; Liu and Hersam 2018). In-line metrology will undoubtedly require additional
approaches to thoroughly explore the condensed dimensions and unique features
of 2-D materials. It’s significant to note that work is still being done to provide a
standardized toolbox for characterization that can assess everything from material
quality to “electrical characteristics”, including “interface aspects”. In conclusion,
several technological advancements are still required to fully realize the potential
of “2-D materials” as boosters in “VLSI systems”. These advancements range from
an improvement in the “quality of the grown material” to the creation of an effec-
tive “transfer technique” to the scaling up of “processes to 300-mm platforms”. Yet,
recent developments in the knowledge of the “characteristics of 2-D materials” and
the presentation of unique devices have kept the study area alive and attractive to
businesses and academic institutions.
Due to its exceptional properties, graphene is the first and most essential member of
the “family of 2D materials” to demonstrate value for various applications, including
electronics, photonics, energy, and a wide range of other sectors (Subudhi and
Punetha 2023b; Punetha and Pandey 2020). Despite advantages, including zero
band gap and challenges in layer control and intrinsic defects, utilizing graphene
for future semiconductor devices is highly challenging. Hence, there has been a
significant market need for the creation of more 2D materials with inherent semi-
conductor characteristics. For instance, the electron-hole pair excitation caused by
the 2D MoS2 direct band gap may be utilized in LEDs, photodetectors, and other
photonic devices (Dixit et al. 2019). 2D MoS2 transistors offer potential for low-
power digital circuits, while materials like MoS2 , MoSe2 , WS2 , WSe2 , etc., may
serve as flash memory floating gates, meeting retention, endurance, and reducing
power operations for nonvolatile memories. Reduced gate stack thickness results in
lower voltage operations and offers up a wide range of possible solutions for applica-
tions requiring ultra-high densities and device downsizing. MoS2 has been developed
for uses other than transistors, such as a “photocatalyst”. Most crucially, doping and
applied mechanical strain are both efficient ways to modify a 2D monolayer MoS2 ’s
capacity for photocatalysis (Li et al. 2013). P-type doping has the ability to promote
5 Other Potential 2-D Materials for CMOS Applications 141
The TMDs, boron nitride, and black phosphorous are emerging 2D semiconductor
materials with distinct mechanical, electrical, and optical characteristics. Because
of this, the materials can make up for graphene in applications for next-generation
semiconductor devices. There were several growth techniques introduced in this area.
Even though the underlying concepts of manufacturing procedures may be similar to
those used in other “van der Waals materials”, 2D materials need a unique approach
due to the variety of compounds and growth processes. The bulk crystals are used
in mechanical exfoliation techniques to generate high-quality samples conveniently
and quickly. Surprisingly, employing CVD-grown multilayer 2D materials such as
TMDs on a SiO2 substrate, the LRS technique produces a monolayer of wafer size.
Pure few-layer TMDs are produced in large quantities via liquid-phase exfoliations.
Wafer-scale growth can occur using chemical vapor deposition techniques. Pure few-
layer TMDs may be bulk produced via liquid-phase exfoliations. Wafer-scale TMD
monolayers can be grown using chemical vapor deposition methods, along with the
ability to modify the growth site, limit the number of TMD layers, and adjust the
size of the TMD grain. By being aware of preparatory techniques, one may choose
an appropriate strategy that is suitable for their research applications and goals. Due
to the excellent electrical capabilities of the MX2 group of 2D nanostructures and
other emerging 2D materials, Moore’s Law’s theoretical size scaling limitations for
nanoelectronics devices may soon be reached if nanoelectronic devices are built
using these novel 2D materials. Further uses for these materials include memory
devices, photocatalysis, energy storage, and many others. The numerous production
and tuning techniques for 2D and hybrid nanostructures are shown through several
additional general approaches.
High-quality 2-D materials are currently exceedingly challenging to develop,
although international multidisciplinary initiatives have greatly aided technical
advancement. So, it is anticipated that using these findings might result in the develop-
ment of new functional materials, tools, and systems that would significantly improve
already existing ones. For these ground-breaking applications, we require numerous
142 P. Subudhi and D. Punetha
atomic thin film component technologies, including synthesis, crystal growth, manu-
facturing, measurement, and analysis capabilities. There are still several additional 2-
D materials that might be extremely significant that have not yet been found. Perhaps,
the numerous technical problems that graphene is unable to address can be resolved
by these recently found TMD materials. Utilizing abundant 2D materials can bring
remarkable characteristics at low cost, potentially revolutionizing various industries
by overcoming scarcity and resource localization drawbacks. Silicon technology,
following Moore’s law, has brought downsizing, enhanced integration, improved
performance, lower power dissipation, and reduced costs. However, the ITRS antici-
pates unprecedented challenges in the next decade, such as transistor scaling, device
integration, and power consumption issues. Despite multiple attempts to address
these issues, the future of silicon technology below 10 nm remains uncertain. In
particular, problems with heat dissipation and power consumption persist when the
transistor is reduced in size. Alternative state variables (Q-bits, spin, molecules, etc.)
are being investigated to substitute electrons in CMOS in order to lower power dissi-
pation. As of right now, no other element can compete with the electron. Although
atomically thin materials provide very efficient control of charge transport via surface
gates, the exceptionally high surface-to-volume ratio of 2D materials is an inherent
advantage for future power reduction in nanotechnology. Under these circumstances,
revolutionary 2D materials-based nanoelectronics may be able to realize the ultimate
scale scaling that Moore’s Law and other theories have promised.
References
Abrams BL, Wilcoxon JP (2005) Nanosize Semiconductors for photooxidation. Crit Rev Solid State
Mater Sci 30:153–182. https://doi.org/10.1080/10408430500200981
Ahn W, Lee H, Kim H, Leem M, Lee H, Park T, Lee E, Kim H (2021) Area-selective atomic layer
deposition of MoS2 using simultaneous deposition and etching characteristics of MoCl5 . Physica
Status Solidi (RRL) Rapid Res Lett 15:2000533. https://doi.org/10.1002/pssr.202000533
Altavilla C, Sarno M, Ciambelli P (2011) A novel wet chemistry approach for the synthesis of hybrid
2D free-floating single or multilayer nanosheets of MS2 @oleylamine (M Mo, W). Chem Mater
23:3879–3885. https://doi.org/10.1021/cm200837g
Anota EC, Gutiérrez RER, Morales AE, Cocoletzi GH (2012) Influence of point defects on the
electronic properties of boron nitride nanosheets. J Mol Model 18:2175–2184. https://doi.org/
10.1007/s00894-011-1233-y
Bhandavat R, David L, Singh G (2012) Synthesis of surface-functionalized WS2 nanosheets and
performance as Li-ion battery anodes. J Phys Chem Lett 3:1523–1530. https://doi.org/10.1021/
jz300480w
Binnig G, Quate CF, Gerber C (1986) Atomic force microscope. Phys Rev Lett 56:930–933. https://
doi.org/10.1103/PhysRevLett.56.930
Britnell L, Ribeiro RM, Eckmann A, Jalil R, Belle BD, Mishchenko A, Kim Y-J, Gorbachev RV,
Georgiou T, Morozov SV et al (1979) Strong light-matter interactions in heterostructures of
atomically thin films. Science 2013(340):1311–1314. https://doi.org/10.1126/science.1235547
Cai J, Han X, Wang X, Meng X (2020) Atomic layer deposition of two-dimensional layered mate-
rials: processes, growth mechanisms, and characteristics. Matter 2:587–630. https://doi.org/10.
1016/j.matt.2019.12.026
5 Other Potential 2-D Materials for CMOS Applications 143
Castellanos-Gomez A (2015) Black phosphorus: narrow gap, wide applications. J Phys Chem Lett
6:4280–4291. https://doi.org/10.1021/acs.jpclett.5b01686
Castellanos-Gomez A, Buscema M, Molenaar R, Singh V, Janssen L, van der Zant HSJ, Steele GA
(2014) Deterministic transfer of two-dimensional materials by all-dry viscoelastic stamping. 2d
Mater 1:011002. https://doi.org/10.1088/2053-1583/1/1/011002
Chen X, Xing G, Xu L, Lian H, Wang Y (2021) Vertically aligned MoS2 films prepared by RF-
magnetron sputtering method as electrocatalysts for hydrogen evolution reactions. Compos
Interfaces 28:707–716. https://doi.org/10.1080/09276440.2020.1812945
Chhowalla M, Shin HS, Eda G, Li L-J, Loh KP, Zhang H (2013) The chemistry of two-dimensional
layered transition metal dichalcogenide nanosheets. Nat Chem 5:263–275. https://doi.org/10.
1038/nchem.1589
Coleman JN, Lotya M, O’Neill A, Bergin SD, King PJ, Khan U, Young K, Gaucher A, De S, Smith
RJ et al (1979) Two-dimensional nanosheets produced by liquid exfoliation of layered materials.
Science 2011(331):568–571. https://doi.org/10.1126/science.1194975
Das B, Mahapatra S (2018) An atom-to-circuit modeling approach to all-2D metal–insulator–
semiconductor field-effect transistors. NPJ 2D Mater Appl 2:28. https://doi.org/10.1038/s41
699-018-0073-3
Desai SB, Madhvapathy SR, Amani M, Kiriya D, Hettick M, Tosun M, Zhou Y, Dubey M, Ager
JW, Chrzan D et al (2016) Gold-mediated exfoliation of ultralarge optoelectronically-perfect
monolayers. Adv Mater 28:4053–4058. https://doi.org/10.1002/adma.201506171
Dixit H, Punetha D, Pandey SK (2018) Comparative study and analysis of different perovskite
solar cells with inverted architecture. In: Computational mathematics, nanoelectronics, and
astrophysics, Springer proceedings in mathematics and statistics, vol 342, pp 125–135. Springer
Nature Singapore
Dixit H, Punetha D, Pandey SK (2019) Performance investigation of Mott-insulator LaVO3 as a
photovoltaic absorber material. J Electron Mater 48(12):7696–7703 (Springer)
Eda G, Yamaguchi H, Voiry D, Fujita T, Chen M, Chhowalla M (2011) Photoluminescence from
chemically exfoliated MoS2 . Nano Lett 11:5111–5116. https://doi.org/10.1021/nl201874w
Fan X, Xu P, Zhou D, Sun Y, Li YC, Nguyen MAT, Terrones M, Mallouk TE (2015) Fast and efficient
preparation of exfoliated 2H MoS2 nanosheets by sonication-assisted lithium intercalation and
infrared laser-induced 1T to 2H phase reversion. Nano Lett 15:5956–5960. https://doi.org/10.
1021/acs.nanolett.5b02091
Ferrari AC, Bonaccorso F, Fal’ko V, Novoselov KS, Roche S, Bøggild P, Borini S, Koppens FHL,
Palermo V, Pugno N et al (2015) Science and technology roadmap for graphene, related two-
dimensional crystals, and hybrid systems. Nanoscale 7:4598–4810. https://doi.org/10.1039/C4N
R01600A
Filipovic L, Selberherr S (2022) Application of two-dimensional materials towards CMOS-
integrated gas sensors. Nanomaterials 12:3651. https://doi.org/10.3390/nano12203651
Frindt RF, Arrott AS, Curzon AE, Heinrich B, Morrison SR, Templeton TL, Divigalpitiya R, Gee
MA, Joensen P, Schurer PJ et al (1991) Exfoliated MoS2 monolayers as substrates for magnetic
materials. J Appl Phys 70:6224–6226. https://doi.org/10.1063/1.350002
Fu D, Zhao X, Zhang Y-Y, Li L, Xu H, Jang A-R, Yoon SI, Song P, Poh SM, Ren T et al (2017)
Molecular beam epitaxy of highly crystalline monolayer molybdenum disulfide on hexagonal
boron nitride. J Am Chem Soc 139:9392–9400. https://doi.org/10.1021/jacs.7b05131
García-Miranda Ferrari A, Rowley-Neale SJ, Banks CE (2021) Recent advances in 2D hexagonal
boron nitride (2D-hBN) applied as the basis of electrochemical sensing platforms. Anal Bioanal
Chem 413:663–672. https://doi.org/10.1007/s00216-020-03068-8
Gaur A, Balaji Y, Lin D, Adelmann C, Van Houdt J, Heyns M, Mocuta D, Radu I (2017) Demon-
stration of 2e12 Cm−2eV−1 2D-oxide interface trap density on back-gated MoS2 flake devices
with 2.5 Nm EOT. Microelectron Eng 178:145–149. https://doi.org/10.1016/j.mee.2017.05.006
Groven B, Nalin Mehta A, Bender H, Meersschaut J, Nuytten T, Verdonck P, Conard T, Smets Q,
Schram T, Schoenaers B et al (2018) Two-dimensional crystal grain size tuning in WS2 atomic
144 P. Subudhi and D. Punetha
layer deposition: an insight in the nucleation mechanism. Chem Mater 30:7648–7663. https://
doi.org/10.1021/acs.chemmater.8b02924
Hao W, Marichy C, Journet C (2018) Atomic layer deposition of stable 2D materials. 2d Mater
6:012001. https://doi.org/10.1088/2053-1583/aad94f
He Z, Que W (2016) Molybdenum disulfide nanomaterials: structures, properties, synthesis and
recent progress on hydrogen evolution reaction. Appl Mater Today 3:23–56. https://doi.org/10.
1016/j.apmt.2016.02.001
He Q, Li P, Wu Z, Yuan B, Luo Z, Yang W, Liu J, Cao G, Zhang W, Shen Y et al (2019) Molecular
beam epitaxy scalable growth of wafer-scale continuous semiconducting monolayer MoTe 2 on
inert amorphous dielectrics. Adv Mater 1901578. https://doi.org/10.1002/adma.201901578
Huang J-H, Chen H-H, Liu P-S, Lu L-S, Wu C-T, Chou C-T, Lee Y-J, Li L-J, Chang W-H, Hou
T-H (2016) Large-area few-layer MoS2 deposited by sputtering. Mater Res Express 3:065007.
https://doi.org/10.1088/2053-1591/3/6/065007
Illarionov YY, Rzepa G, Waltl M, Knobloch T, Grill A, Furchi MM, Mueller T, Grasser T (2016)
The role of charge trapping in MoS2 /SiO2 and MoS2 /HBN field-effect transistors. 2d Mater
3:035004. https://doi.org/10.1088/2053-1583/3/3/035004
Jiménez D (2012) Drift-diffusion model for single layer transition metal dichalcogenide field-effect
transistors. Appl Phys Lett 101:243501. https://doi.org/10.1063/1.4770313
Kang K, Chen S, Yang E-H (2020) Synthesis of transition metal dichalcogenides. In: Synthesis,
modeling, and characterization of 2D materials, and their heterostructures. Elsevier, pp 247–264
Kim KK, Hsu A, Jia X, Kim SM, Shi Y, Hofmann M, Nezich D, Rodriguez-Nieva JF, Dresselhaus
M, Palacios T et al (2012) Synthesis of monolayer hexagonal boron nitride on Cu foil using
chemical vapor deposition. Nano Lett 12:161–166. https://doi.org/10.1021/nl203249a
Koma A, Saiki K, Sato Y (1990) Heteroepitaxy of a two-dimensional material on a three-dimensional
material. Appl Surf Sci 41–42:451–456. https://doi.org/10.1016/0169-4332(89)90102-5
Kumar A, Pandey N, Punetha D, Saha R, Chakrabarti S (2023a) Enhancement in the structural
and optical properties after incorporation of reduced graphene oxide (rGO) nanocomposite in
pristine CsSnBr 3 for solar cell application. ACS Appl Electron Mater 5(6):3144–3153. https://
doi.org/10.1021/acsaelm.3c00224
Kumar A, Punetha D, Pandey SK (2023b) Computational modeling and analysis of a chemical gas
sensor utilizing WO3 thin films for NO2 detection. J Comput Electron 22(2):760–767. https://
doi.org/10.1007/s10825-023-02011-1
Kumar RR, Punetha D, Pandey SK (2017) Performance optimization and analysis of ZnO based
green light emitting diode. In: IWPSD 2017: the physics of semiconductor devices. Springer
proceedings in physics, vol 215, pp 1127–1135
Kumari D, Jaiswal N, Shukla R, Punetha D, Pandey SK (2023) Design and fabrication of all-
inorganic transport materials-based Cs2SnI6 perovskite solar cells. J Mater Sci Mater Electron
Springer 34:1792
Lee K, Kim H-Y, Lotya M, Coleman JN, Kim G-T, Duesberg GS (2011) Electrical characteristics of
molybdenum disulfide flakes produced by liquid exfoliation. Adv Mater 23:4178–4182. https://
doi.org/10.1002/adma.201101013
Lee JH, Jang WS, Han SW, Baik HK (2014) Efficient hydrogen evolution by mechanically strained
MoS2 nanosheets. Langmuir 30:9866–9873. https://doi.org/10.1021/la501349k
Li J, Gui G, Zhong J (2008) Tunable bandgap structures of two-dimensional boron nitride. J Appl
Phys 104:094311. https://doi.org/10.1063/1.3006138
Li C, Bando Y, Zhi C, Huang Y, Golberg D (2009) Thickness-dependent bending modulus of
hexagonal boron nitride nanosheets. Nanotechnology 20:385707. https://doi.org/10.1088/0957-
4484/20/38/385707
Li LH, Chen Y, Behan G, Zhang H, Petravic M, Glushenkov AM (2011) Large-scale mechanical
peeling of boron nitride nanosheets by low-energy ball milling. J Mater Chem 21:11862. https://
doi.org/10.1039/c1jm11192b
Li Y, Li Y-L, Araujo CM, Luo W, Ahuja R (2013) Single-layer MoS2 as an efficient photocatalyst.
Catal Sci Technol 3:2214. https://doi.org/10.1039/c3cy00207a
5 Other Potential 2-D Materials for CMOS Applications 145
Liu X, Hersam MC (2018) Interface characterization and control of 2D materials and heterostruc-
tures. Adv Mater 30:1801586. https://doi.org/10.1002/adma.201801586
Lockhart de la Rosa CJ, Arutchelvan G, Leonhardt A, Huyghebaert C, Radu I, Heyns M, De Gendt S
(2018) Relation between film thickness and surface doping of MoS2 based field effect transistors.
APL Mater 6:058301. https://doi.org/10.1063/1.4996425
Lu X, Utama MIB, Zhang J, Zhao Y, Xiong Q (2013) Layer-by-layer thinning of MoS2 by thermal
annealing. Nanoscale 5:8904–8908. https://doi.org/10.1039/C3NR03101B
Mak KF, Lee C, Hone J, Shan J, Heinz TF (2010) Atomically thin MoS2 : a new direct-gap
semiconductor. Phys Rev Lett 105:136805. https://doi.org/10.1103/PhysRevLett.105.136805
Mak KF, He K, Lee C, Lee GH, Hone J, Heinz TF, Shan J (2013) Tightly bound trions in monolayer
MoS2. Nat Mater 12:207–211. https://doi.org/10.1038/nmat3505
Mantri MR, Panda DP, Pandey SK, Singh VP, Pandey SK, Chakrabarti S (2023) Improvement in
performance of InAs surface quantum dot heterostructure based H2S gas sensor by introducing
buried quantum dot layer. IEEE Sens J 23(14):15369–15375
Marin EG, Marian D, Iannaccone G, Fiori G (2017) First principles investigation of tunnel FETs
based on nanoribbons from topological two-dimensional materials. Nanoscale 9:19390–19397.
https://doi.org/10.1039/C7NR06015G
Mattheiss LF (1973) Band structures of transition-metal-dichalcogenide layer compounds. Phys
Rev B 8:3719–3740. https://doi.org/10.1103/PhysRevB.8.3719
Mukherjee R, Bhowmick S (2011) Edge stabilities of hexagonal boron nitride nanoribbons: a
first-principles study. J Chem Theory Comput 7:720–724. https://doi.org/10.1021/ct1006345
Muratore C, Voevodin AA, Glavin NR (2019) Physical vapor deposition of 2D Van Der Waals
materials: a review. Thin Solid Films 688:137500. https://doi.org/10.1016/j.tsf.2019.137500
Nag A, Raidongia K, Hembram KPSS, Datta R, Waghmare UV, Rao CNR (2010) Graphene
analogues of BN: novel synthesis and properties. ACS Nano 4:1539–1544. https://doi.org/10.
1021/nn9018762
Novoselov KS, Jiang D, Schedin F, Booth TJ, Khotkevich VV, Morozov SV, Geim AK (2005) Two-
dimensional atomic crystals. Proc Natl Acad Sci 102:10451–10453. https://doi.org/10.1073/
pnas.0502848102
Pacilé D, Meyer JC, Girit ÇÖ, Zettl A (2008) The two-dimensional phase of boron nitride: few-
atomic-layer sheets and suspended membranes. Appl Phys Lett 92:133107. https://doi.org/10.
1063/1.2903702
Pandey KN, Saha R, Chakrabarti S (2023) Tenability and improvement of the structural, electronic,
and optical properties of lead-free CsSnCl 3 perovskite by incorporating reduced graphene oxide
(rGO) for optoelectronic applications. J Mater Chem C RSC 11:3606–3615
Punetha D, Pandey SK (2019a) Optimization in NH3 gas response of WO3 nanorods based sensor
array. In: IEEE sensors 2019. McGill University, Montreal, Canada, Oct 27–30
Punetha D, Pandey SK (2019b) Sensitivity enhancement of Ammonia gas sensor based on
hydrothermally synthesized rGO/WO3 nanocomposites. IEEE Sens J 20(4):1738–1745
Punetha D, Pandey SK (2019c) Ultrafast and highly selective CO gas sensor based on rGO/Fe3 O4
nanocomposite at room temperature. In: IEEE sensors 2019. McGill University, Montreal,
Canada, Oct 27–30
Punetha D, Pandey SK (2019d) Ultrasensitive NH3 gas sensor based on Au/ZnO/n-Si heterojunction
schottky diode. IEEE Trans Electron Dev 66:3560–3567. https://doi.org/10.1109/TED.2019.292
1990
Punetha D, Pandey SK (2019e) CO gas sensor based on E-beam evaporated ZnO, MgZnO, and
CdZnO thin films: a comparative study. IEEE Sens J 19:2450–2457. https://doi.org/10.1109/
JSEN.2018.2890007
Punetha D, Kumar A, Pandey SK, Chakrabarti S (2023) Wearable piezoelectric nanogenerator-
based hazardous gas monitoring gadget for self-powered ammonia early warning. In: Organic
and hybrid sensors and bioelectronics XVI, SPIE, San Diego, California, United States, 20–24
Aug 2023
146 P. Subudhi and D. Punetha
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 149
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_6
150 R. K. Mishra et al.
Highlights
• This chapter starts by introducing 2D materials and their properties. It then
explores Si-CMOS devices and their limitations.
• This chapter covers detailed analysis of benefits from integrating 2D materials
with Si-CMOS devices.
• Si-CMOS devices integrated with 2D material-based FETs, like MoS2 FETs,
show superior performance.
• Emphasis on future research: prioritize scalable integration, improved hardware
compatibility, enhanced reliability, and reduced integration costs.
Introduction
Fig. 6.1 Previous two decades steady advancement in Si transistor technology nodes. Source Web
of Science
doubling component density annually (Cavin et al. 2012). Despite increasing lithog-
raphy tool costs, the cost per transistor has decreased, and Si-CMOS technology is
projected to endure for decades (Cavin et al. 2012). Challenges in scaling CMOS
transistors, including leakage currents and parasitic effects, impede further minia-
turization (Jacob et al. 2017). The integration of “2D materials” like “graphene”
and “transition metal dichalcogenides (TMDs)” into “Si-CMOS technology” offers
promise for addressing contemporary microelectronics challenges. Despite obstacles
in defects, contamination, and manufacturing standards, integrating 2D materials is
anticipated to enhance chip capabilities (Kim et al. 2019). Current initiatives are
directed toward the reduction of transistor channel length, with TMDs like MoS2
and WSe2 demonstrating advantageous features for the miniaturization of “field-
effect transistors (FETs)” and addressing concerns related to short-channel effects
(Mukherjee 2012). However, manufacturing bottlenecks persist, as exemplified by
a European pilot line working to overcome production challenges (Moriceau et al.
2010). While the potential of 2D materials is evident, challenges remain in meeting
industry specifications, controlling dielectric interfaces, and addressing doping issues
(Das et al. 2016). Resolving these bottlenecks is crucial for successful integration,
unlocking the potential for enhanced chip capabilities (Moriceau et al. 2010). A
comprehensive strategy is imperative to extend the limits of “Moore’s law”. This
involves innovations in device architecture and the introduction of new materials
like graphene and carbon nanotubes. Additionally, it encompasses the exploration
of three-dimensional integrated circuits (ICs), involving the stacking of multiple
layers of transistors and memory cells for enhanced efficiency and power efficiency
(Muralidhar et al. 2022). Advancements in materials, device architectures, and system
design are essential for active scaling (Muralidhar et al. 2022). Kazior highlights
substantial progress in heterogeneous integration, envisioning a landscape where
6 Heterogeneous Integration of 2D Materials with Silicon … 153
electrical conductivity and electron mobility, exhibits promise for diverse appli-
cations (Franklin 2017). The exploration extends to various materials, including
TMDs, “black phosphorus”, “hexagonal boron nitride (hBN)”, and “2D material
heterostructures” (Thomas et al. 2022; Tyagi et al. 2020). These materials, with
their unique properties, offer advantages such as improved performance, reduced
power consumption, and expanded functionalities (Tyagi et al. 2020). However,
challenges arise in integrating 2D materials with Si-CMOS devices, involving struc-
tural and dimensional mismatches, contact resistance, and material compatibility.
Despite these challenges, materials like graphene, TMDs, and black phosphorus
show significant potential, particularly in electronic and photonic applications due
to their distinctive properties (Nourbakhsh et al. 2018). Jeong et al. investigate the
bandgap tuning of black phosphorus, revealing a strong nonlinear dependence on the
externally applied bias field (Jeong et al. 2022). Abid et al. explore the bandgap prop-
erties of graphene oxide (GO) and its reduced form (rGO), providing insights into
the reduction process at different temperatures (Abid and Islam 2018). Successful
integration of “2D materials” with “Si-CMOS devices” hinges on effective synthesis
methods and thorough characterization. Various methods, including CVD, ME, and
LPE, are employed (Ould et al. 2018). Characterization techniques like AFM, SEM,
TEM, and Raman spectroscopy offer crucial insights for optimizing electronic prop-
erties and addressing integration challenges (Kumbhakar et al. 2021). In a related
context, Sarney et al. explore the landscape dynamics of HZO through “materials
characterization”, “device modeling”, and “electrical measurements” (Sarney et al.
2023). The focus is on HZO-based “ferroelectric field-effect transistors (FeFETs)”,
“three-terminal devices” with compelling properties for “embedded memory” and
“in-memory computing architectures”. HZO films, processed at CMOS-compatible
temperatures, exhibit ferroelectricity without necessitating field processing, with
notable characteristics such as an “average remnant polarization” between 10 and 20
μC/cm2 and a coercive field of approximately 0.6 MV/cm (Sarney et al. 2023). Strong
synaptic plasticity over a 3.5 order of magnitude conductive range is demonstrated
by the integration of HZO into “BEOL FeFET device” designs using WSe2, offering
insights into material manufacturing, “dimensional scaling”, and HZO-based FeFET
integration (Sarney et al. 2023). In an investigation led by Patel et al., the research
targets mitigating the substantial risk associated with radioactive leakage in Indian
nuclear power plants, integral to the nation’s power production (Patel et al. 2023).
The proposed solution integrates CMOS and the IoT for precise “radioactive leakage
detection”. CMOS, renowned for its precision in radiation measurement, collaborates
with IoT, forming a sophisticated alert system to preempt disasters through timely
and accurate information (Patel et al. 2023). Turning attention to silicon photonics,
Tang et al. offer a review of the incorporation of “2D materials” into silicon-based
platforms. This presents a promising avenue to overcome challenges inherent in
traditional silicon-based information technology (Tang et al. 2023). In addition to
reviewing three common “2D opto-electronic devices” for “silicon photonic appli-
cations”, the paper thoroughly investigates the optical characteristics of “2D mate-
rials” and considers the possibility of “3D monolithic heterogeneous integration”
6 Heterogeneous Integration of 2D Materials with Silicon … 155
of these devices (Tang et al. 2023). Wang et al. discuss the importance of “ferro-
electric materials” with electrically “switchable spontaneous polarization” for gen-
next “low-power nanoelectronics” in the field of ferroelectronics (Maszara 2018).
Acknowledging challenges linked to conventional thin-film ferroelectrics, the study
emphasizes emerging “2D ferroelectric materials” with “nanoscale dimensions” and
“moderate bandgaps” (Maszara 2018). Transitioning to photonics and nanostruc-
tures, Omeis et al. assess the performance of “resonant waveguide (RWG)” rejection
filters and “hybrid Fabry–Perot (FP) band-pass filters”. This evaluation encompasses
factors like “spectral response”, “tunability”, “angular” and “polarization tolerance”,
and “noise sensitivity” (Omeis et al. 2023). The thorough examination clarifies the
combined capacity of an “ambient light sensor (ALS) array” to recover spectrum
data, providing engineers and manufacturers with a systematic framework to guide
them through the selection of applications and sensing capabilities. Li and Yang
contribute to the field of mid-infrared (MIR) photodetection using two-dimensional
(2D) and mixed-dimensional (nD, n = 0, 1, 2, 3) heterostructures (Li and Yang 2023).
The study accentuates the widespread utilization of 2D materials in MIR photode-
tectors due to their “tunable bandgaps”, “high carrier mobility”, and “strong light
absorption”. The authors systematically outline synthesis strategies for van der Waals
(vdWs) heterostructures, presenting motivations, device designs, mechanisms, and
performances of MIR photodetectors. In a distinct exploration, Yang et al. delve into
anisotropic mass transport in crystalline GeSe with an orthorhombic structure, high-
lighting its utility in fabricating “planar memristive devices” exhibiting directional
memory and “transient switching” phenomena (Yang et al. 2023b). The “anisotropic
switching” behaviors, originating from the morphology of metallic filaments, facili-
tate the emulation of various synaptic events, offering opportunities for applications
in “multifunctional brain-inspired computing systems” (Yang et al. 2023b). Experi-
mental results are presented in Figs. 6.2 and 6.3 and highlight practical implications
of integrating 2D materials into Si-CMOS devices (Hoseinpour et al. 2023; Rahil
et al. 2022). In Zhang et al.’s investigation, a dual-gate black phosphorus (DGBP)
transistor was employed to study bandgap tuning under bias (Zhang et al. 2020).
Figure 6.2a illustrates the DGBP thin-film transistor used for “bandgap tuning”,
while Fig. 6.2b details bandgap tuning features with varying BP film thicknesses.
The transistor featured a “thin-film BP channel” between a “90 nm silicon oxide”
and a “24-nm aluminum oxide gate dielectric”. “Source and drain electrodes” were
“chromium/gold (3/30 nm)”, and the top gate was “titanium/platinum (1/10 nm)”,
with the “silicon substrate” as the “back gate”. Bandgap assessment involved exam-
ining “BP conductance” at the neutral charge point under a vertically aligned biasing
field. To minimize metal/BP contact impact, a four-probe system was employed,
as shown in Fig. 6.2b. Analysis of a “4-nm thick” BP sample indicated alignment
with theoretical calculations, demonstrating a nonlinear bandgap modulation with
increasing gating field. This revealed a highly strong “nonlinear dependence” on
the externally applied bias field, with a tunable range extending beyond a moderate
“displacement field”, reaching up to “1 V/nm” (Zhang et al. 2020).
Figure 6.3 displays UV–Visible spectroscopy analysis of GO dispersion and rGO
film during thermal reduction (Abid and Islam 2018). The analysis provides insights
156 R. K. Mishra et al.
Fig. 6.2 a Pictorial representation of “dual-gate BP thin-film transistor” employed for the bandgap
tuning b bandgap tuning features of BP film having layers 4, 5,6, 7, 16,17, 18, and 19 with a
tight-binding model developed with DFT (solid lines). BP films having variation in thickness hold
variant tuning character. Purple dots represent the calculated bandgap tuning of 4-nm thick BP
film holding approximately seven layers. Reproduced with permission from Zhang et al. (2020).
Copyright (2017) Springer Nature
Fig. 6.3 UV–Visible spectroscopy of a GO dispersion with respect to ultrasonication time b rGO
film in accordance with thermal reduction time. Reproduced with permission from Abid and Islam
(2018). Copyright (2018) Springer Nature
into structural transformations and bandgap modifications during the thermal reduc-
tion process. In Fig. 6.3a, UV–Visible spectroscopy of the prepared GO dispersion
after ultrasonication (1 h, 3 h, and 5 h) shows a maximum absorption peak at 237 nm,
indicating the π–π* transition by aromatic C–C bonds. Figure 6.3b demonstrates
UV–Visible spectroscopy of the rGO sample after reduction at 250 °C for varying
durations. The absorption peak shifts to 266 nm, quantitatively representing the
reduction process. This detailed analysis in Fig. 6.3 offers crucial insights into the
structural transformations and bandgap modifications during the thermal reduction
of GO to rGO (Abid and Islam 2018).
6 Heterogeneous Integration of 2D Materials with Silicon … 157
(monolayer), fast recovery time (ps), anisotropy, adjustable bandgap, and et al. (2017)
resonance of PL: 918, linewidth of wide operation range bridge
PL: 90, optical absorption 10% or graphene-TMD gap with anisotropic
50% PL/absorption
Born nitride hBN Large 6 eV bandgap, UV region PL hBN has insulating properties, high Elias et al. (2019), Cai
emission, 300–400 meV bandwidth, thermal conductivity, and potential et al. (2019)
fast picosecond recovery, PL for electrostatic gating, making it
resonance at 230, useful for useful in electronics and thermal
opto-electronic devices management
(continued)
159
Table 6.1 (continued)
160
(continued)
163
Table 6.1 (continued)
164
Fig. 6.4 AFM-based analyses explore epitaxial monolayer BN on graphite and mBN growth on
HOPG. The “AC-mode AFM topography of mBN” on HOPG reveals bright regions corresponding
to 3D hBN aggregates at “HOPG step edges” a. “Phase-channel data” highlights exposed HOPG
regions, untouched by hBN growth b. A “small-area contact-mode AFM” image captures the charac-
teristic monolayer BN step height at the mBN boundary near exposed HOPG c. A detailed view of a
zoomed-in region provides insights into specific features d. The phase-channel image corresponding
to the zoomed-in region enhances understanding of local properties e. A line-profile analysis along
the mBN-HOPG interface reveals the characteristic monolayer BN step height f. The reproduced
data are used with proper permission (Elias et al. 2019). Copyright (2019) Springer Nature
meticulous material selection, taking into account factors such as thermal expansion,
electrical characteristics, chemical compatibility, and adhesion. hBN is chosen for
its thermal expansion coefficient resembling Si-CMOS, mitigating challenges like
delamination and cracking in the heterostructure (Serdar 2019). Graphene, with its
high carrier mobility, is ideal for applications requiring high-speed electronic devices,
ensuring optimal electronic performance. WS2 and MoS2 contribute to efficient
charge transfer, facilitating successful hetero-integration due to high conductivity and
work function alignment (Gupta et al. 2020; Alharbi and Shahrjerdi 2016). Chemical
compatibility is crucial for harmonious coexistence, with graphene exhibiting robust
adhesion characteristics, though challenges arise with TMDs and hBN. Techniques
are required to enhance adhesion and address challenges with TMDs and hBN in
Si-CMOS processes. BP presents challenges due to its sensitivity to environmental
conditions, requiring protective measures for stability in the Si-CMOS environment
(Wu et al. 2019). The intersection of machine learning (ML) and materials science
has significantly accelerated the discovery and understanding of novel materials
and their electronic properties (Dongale et al. 2022). Dongale et al. utilized ML
to establish design guidelines and predict the performance of “resistive switching
(RS)” memory devices, displaying its potential in industry-standard applications
(Dongale et al. 2022). Employing supervised and unsupervised ML techniques, the
researchers provided design guidelines for categorical and continuous feature sets,
revealing insights into how various device parameters influence “RS performance”.
The “ML predictions” were experimentally validated through the fabrication of
corresponding RS devices, affirming the accelerated discovery enabled by ML tech-
niques. In the pursuit of CMOS-integrable smart gas sensor devices, Mutinati et al.
addressed technological challenges associated with the integration of gas-sensitive
materials into CMOS devices (Mutinati et al. 2012). Focusing on ultrathin SnO2
layers, our study demonstrates a heightened response to H2, with linear “V-I char-
acteristics” throughout the “operating temperature range”. The promise of deposited
SnO2 layers for “post-CMOS processing of sensor films” is highlighted by their good
step coverage on passivated CMOS devices (Mutinati et al. 2012). The NanoElec-
tronics Roadmap for Europe, part of the NEREID project, offers a comprehensive
view of the future of European Nanoelectronics (Ahopelto et al. 2019). The objec-
tive of this cooperative endeavor is to formulate a medium- and long-range strategy
for the European nanoelectronics sector, tackling social obstacles and pinpointing
innovations with promise. The roadmap highlights meeting societal demands and
utilizing the advantages of the European eco-system and covers Advanced “Logic
and Connectivity”, “Functional Diversification”, “Beyond-CMOS”, “Heterogeneous
Integration”, and “System Design” (Ahopelto et al. 2019). In microelectronics and
nanoelectronics, Gao et al. proposed a novel approach to heterogeneously inte-
grating a monolithic oscillator chip using “FlexMEMS technology” (Gao et al.
2019). The “3D-stacked IC exhibits excellent performance, highlighting the potential
of FlexMEMS technology in system-on-chip hetero-integration applications (Gao
et al. 2019). A new substrate coupling simulation methodology, as presented by
Karipidis et al., provides insights into crosstalk effects in mobile communications
system-on-chip (SoC) designs (Karipidis et al. 2023). This methodology integrates
168 R. K. Mishra et al.
et al. 2018). Meanwhile, TMD-based LEDs demonstrate high brightness and effi-
ciency, making them promising for practical display and lighting applications (Yu
et al. 2018). In the energy sector, the fusion of 2D materials and Si-CMOS holds
potential for practical batteries and supercapacitors (Li et al. 2017). The exceptional
surface area and catalytic activity of 2D materials position them favorably for use in
practical batteries and supercapacitors, highlighting their potential in energy-related
applications (Huang et al. 2012). High-energy–density graphene-based batteries and
supercapacitors exhibit rapid charge/discharge rates, promising applications in elec-
tronics and electric vehicles (Cherusseri et al. 2019). Transition metal dichalco-
genide (TMD)-based catalysts demonstrate high activity and selectivity, making them
appealing for fuel cells and solar cells (Mikolajick et al. 2021; Kataria et al. 2017).
This interdisciplinary approach converges materials science, electronics, and energy
research, highlighting the potential of integrating 2D materials with Si-CMOS for
practical applications. Kim et al. conducted detailed “electrical characterization” of
“200 mm CMOS-compatible GaN/Si HEMTs”, offering insights into key transistor
parameters at deep cryogenic temperatures (Kim et al. 2022). Addressing integration
complexities, Jiaoyan et al. explored controlled doping of TMDs for CMOS applica-
tions, utilizing Ar plasma treatment for controllable p- and n-type doping (He et al.
2023). Swayam et al. presented a systematic study on CMOS integration with the
Internet of things for detecting radioactive leaks in nuclear plants, addressing critical
challenges in the nuclear power sector (Patel et al. 2023). Park et al. introduced a
portable mini-Raman spectrometer, integrating a CMOS image sensor for analytical
advancements (Park et al. 2023). Ga Hye et al. proposed room temperature-grown
“nanocrystalline tellurium thin-films transistors” for “large-scale CMOS circuits”
(Kim et al. 2023). Wanying et al. demonstrated fabricating “CMOS inverter arrays”
using “large-area p-MoTe2 and n-MoS2” (Du et al. 2021). Fatima et al. compared
spectral sensor technologies, evaluating resonant waveguide and Fabry–Perot cavity
filters for ALS applications (Omeis et al. 2023). Rimcy et al. studied the effect of
different scintillator choices on “X-ray imaging” performance in “CMOS sensors”
(Alikunju et al. 2023). Udo et al. conducted finite element method (FEM) simula-
tions of Rayleigh waves on a stacked AlN/SiO2 /Si (100) device (Kaletta et al. 2014).
The integration of “2D materials” with “Si-CMOS” technology shows promise for
revolutionizing electronics and energy applications. In Si photonics, integrating 2D
materials enhances on-chip devices, influencing phenomena like the Rabi splitting
effect and the Purcell effect. Photoelectric modulation, altering the refractive index
with an electric field, enriches on-chip Si photonic devices (Sun et al. 2016; Li et al.
2020b; Chabi and Kadel 2020; Briggs et al. 2020; Melnichuk and Wood 2010).
Compatibility of 2D materials with Si-CMOS extends to sensing, enhancing detec-
tion capabilities. High surface area-to-volume ratios and carrier mobility enable rapid
detection of small analyte changes. Functionalization modifies electronic proper-
ties, improving selectivity for specific analytes. Atomically thin 2D materials with
strong light absorption facilitate highly sensitive optical sensors (Turunen et al. 2022;
Nikolic et al. 2020). Spin electronics, utilizing electrons’ intrinsic spin for infor-
mation encoding, is promising. Graphene and TMDs, with high electron mobility,
6 Heterogeneous Integration of 2D Materials with Silicon … 171
extended spin lifetimes, and significant surface area-to-volume ratios, offer advan-
tages for spin electronics (Liu et al. 2020; Wang and Khalili Amiri 2012; Brotons-
Gisbert et al. 2018). Integrating these materials with Si-CMOS holds promise for
high-performance spintronic devices in data storage and quantum computing (Liu
et al. 2020; Wang and Khalili Amiri 2012; Brotons-Gisbert et al. 2018). Merging 2D
materials with Si-CMOS transforms electronic devices across diverse fields. Excep-
tional characteristics, combined with Si-CMOS processability, unlock unprecedented
functionalities and possibilities.
Conclusions
The integration of “2D materials” with “Si-CMOS devices” holds promise for
advancing next-generation electronics. This article offers a comprehensive overview,
beginning with an introduction to 2D materials and their properties. Subsequently, it
delves into Si-CMOS devices, elucidating their limitations and thoroughly examining
the benefits of integrating 2D materials with them. Various integration techniques,
such as transfer printing and direct growth, are discussed, highlighting challenges
like disparate thermal expansion coefficients and distinct material properties. Case
studies featuring graphene-based field-effect transistors (FETs) and MoS2-based
FETs integrated with Si-CMOS devices demonstrates superior performance and
enhanced functionality. The exploration of diverse integration techniques demon-
strates the versatility of 2D materials in overcoming challenges associated with
Si-CMOS devices. In conclusion, the article reflects on the future prospects of
integrating 2D materials with Si-CMOS devices, emphasizing their impact across
various applications, including electronics, sensing, and energy conversion. This
research contributes valuable insights to the field, paving the way for advancements
in electronic technologies.
Acknowledgements The research has received funding from the UK Engineering and Phys-
ical Sciences Research Council (EPSRC), Ref. EP/R016828/1 (Self-tuning Fiber-Reinforced
Polymer Adaptive Nanocomposite, STRAIN comp) and EP/R513027/1 (Study of Microstructure
of Dielectric Polymer Nanocomposites subjected to Electromagnetic Field for Development of
Self-toughening Lightweight Composites).
References
Abid SP, Islam SS et al (2018) Reduced graphene oxide (rGO) based wideband optical sensor and
the role of temperature, defect states and quantum efficiency. Sci Rep 8:3537. https://doi.org/
10.1038/s41598-018-21686-2
Ahn EC (2020) 2D materials for spintronic devices. NPJ 2D Mater Appl 4
6 Heterogeneous Integration of 2D Materials with Silicon … 173
Ahopelto J, Ardila G, Baldi L et al (2019) NanoElectronics roadmap for Europe: from nanodevices
and innovative materials to system integration. Solid State Electron 155. https://doi.org/10.1016/
j.sse.2019.03.014
Akinwande D, Huyghebaert C, Wang C-H et al (2019) Graphene and two-dimensional materials
for silicon technology. Nature 573:507–518. https://doi.org/10.1038/s41586-019-1573-9
Alam S, Asaduzzaman Chowdhury M, Shahid A et al (2021) Synthesis of emerging two-dimensional
(2D) materials—advances, challenges and prospects. FlatChem 30:100305. https://doi.org/10.
1016/j.flatc.2021.100305
Alharbi A, Shahrjerdi D (2016) Electronic properties of monolayer tungsten disulfide grown by
chemical vapor deposition. Appl Phys Lett 109. https://doi.org/10.1063/1.4967188
Alikunju RP, Kearney S, Moss R et al (2023) Effect of different scintillator choices on the X-ray
imaging performance of CMOS sensors. Nucl Instrum Methods Phys Res A 1050. https://doi.
org/10.1016/j.nima.2023.168136
Arulanantham AMS, Valanarasu S, Jeyadheepan K et al (2017) Effect of sulfur concentration on the
properties of tin disulfide thin films by nebulizer spray pyrolysis technique. J Mater Sci Mater
Electron 28:18675–18685. https://doi.org/10.1007/s10854-017-7817-2
Beaudette CA, Held JT, Mkhoyan KA, Kortshagen UR (2020) Nonthermal plasma-enhanced chem-
ical vapor deposition of two-dimensional molybdenum disulfide. ACS Omega 5. https://doi.org/
10.1021/acsomega.0c02947
Briggs N, Bersch B, Wang Y et al (2020) Atomically thin half-van der Waals metals enabled by
confinement heteroepitaxy. Nat Mater 19. https://doi.org/10.1038/s41563-020-0631-x
Brotons-Gisbert M, Andres-Penares D, Suh J et al (2016) Nanotexturing to enhance photolumines-
cent response of atomically thin indium selenide with highly tunable band gap. Nano Lett 16.
https://doi.org/10.1021/acs.nanolett.6b00689
Brotons-Gisbert M, Martínez-Pastor JP, Ballesteros GC et al (2018) Engineering light emission
of two-dimensional materials in both the weak and strong coupling regimes. Nanophotonics 7.
https://doi.org/10.1515/nanoph-2017-0041
Cai Q, Scullion D, Gan W et al (2019) High thermal conductivity of high-quality monolayer boron
nitride and its thermal expansion. Sci Adv 5. https://doi.org/10.1126/sciadv.aav0129
Cao X, Peng L, Liu L et al (2022) Defect-induced photocurrent gain for carbon nanofilm-
based broadband infrared photodetector. Carbon NY 198. https://doi.org/10.1016/j.carbon.2022.
07.028
Cavin RK, Lugli P, Zhirnov VV (2012) Science and engineering beyond moore’s law. In:
Proceedings of the IEEE
Chabi S, Kadel K (2020) Two-dimensional silicon carbide: emerging direct band gap semiconductor.
Nanomaterials 10. https://doi.org/10.3390/nano10112226
Chakraborty SK, Kundu B, Nayak B et al (2022) Challenges and opportunities in 2D heterostructures
for electronic and optoelectronic devices. iScience 25:103942. https://doi.org/10.1016/j.isci.
2022.103942
Cheng Z, Cao R, Wei K et al (2021) 2D materials enabled next-generation integrated optoelectronics:
from fabrication to applications. Adv Sci 8
Cherusseri J, Sambath Kumar K, Pandey D et al (2019) Vertically aligned graphene-carbon
fiber hybrid electrodes with superlong cycling stability for flexible supercapacitors. Small
15:1902606. https://doi.org/10.1002/smll.201902606
Clough AJ, Orchanian NM, Skelton JM et al (2019) Room temperature metallic conductivity in a
metal-organic framework induced by oxidation. J Am Chem Soc 141. https://doi.org/10.1021/
jacs.9b06898
Das S, Robinson JA, Dubey M et al (2015) Beyond graphene: progress in novel two-dimensional
materials and van der Waals solids. Annu Rev Mater Res 45. https://doi.org/10.1146/annurev-
matsci-070214-021034
Das T, Chen X, Jang H et al (2016) Highly flexible hybrid CMOS inverter based on Si nanomembrane
and molybdenum disulfide. Small 12:5720–5727. https://doi.org/10.1002/smll.201602101
174 R. K. Mishra et al.
Das S, Sebastian A, Pop E et al (2021) Transistors based on two-dimensional materials for future
integrated circuits. Nat Electron 4:786–799. https://doi.org/10.1038/s41928-021-00670-1
Davanco M, Liu J, Sapienza L et al (2017) Heterogeneous integration for on-chip quantum photonic
circuits with single quantum dot devices. Nat Commun 8. https://doi.org/10.1038/s41467-017-
00987-6
Deng B, Tran V, Xie Y et al (2017) Efficient electrical control of thin-film black phosphorus bandgap.
Nat Commun 8. https://doi.org/10.1038/ncomms14474
Dongale TD, Sutar SS, Dange YD et al (2022) Machine learning-assisted design guidelines and
performance prediction of CMOS-compatible metal oxide-based resistive switching memory
devices. Appl Mater Today 29. https://doi.org/10.1016/j.apmt.2022.101650
Dragoman M, Aldrigo M, Dragoman D (2021) Perspectives on atomic-scale switches for high-
frequency applications based on nanomaterials. Nanomaterials 11
Du W, Jia X, Cheng Z et al (2021) Low-power-consumption CMOS inverter array based on CVD-
grown p-MoTe2 and n-MoS2. iScience 24. https://doi.org/10.1016/j.isci.2021.103491
Elias C (2019) Reflectivity of hexagonal boron-nitride in deep UV. In: 2019 compound semicon-
ductor week (CSW). IEEE, pp 1–1
Elias C, Valvin P, Pelini T et al (2019) Direct band-gap crossover in epitaxial monolayer boron
nitride. Nat Commun 10. https://doi.org/10.1038/s41467-019-10610-5
Flandre D, Adriaensen S, Akheyar A et al (2001) Fully depleted SOI CMOS technology for hetero-
geneous micropower, high-temperature or RF microsystems. Solid State Electron 45. https://
doi.org/10.1016/S0038-1101(01)00084-3
Franklin AD (2017) Scaling, stacking, and printing: how 1D and 2D nanomaterials still hold promise
for a new era of electronics. In: 2017 symposium on VLSI technology. IEEE, pp T44–T45
Gao L (2017) Flexible device applications of 2D semiconductors. Small 13
Gao C, Zhang M, Jiang Y (2019) FlexMEMS-enabled hetero-integration for monolithic FBAR-
above-IC oscillators. Nanotechnol Prec Eng 2. https://doi.org/10.1016/j.npe.2019.08.002
Glavin NR, Muratore C, Snure M (2020) Toward 2D materials for flexible electronics: opportunities
and outlook. Oxford Open Mater Sci 1. https://doi.org/10.1093/oxfmat/itaa002
Green DS, Dohrman CL, Chang TH (2015) Compound semiconductor technology for modern RF
modules: status and future directions. In: CS MANTECH 2015—2015 international conference
on compound semiconductor manufacturing technology
Guan S-X, Yang TH, Yang C-H et al (2023) Monolithic 3D integration of back-end compatible 2D
material FET on Si FinFET. NPJ 2D Mater Appl 7:9. https://doi.org/10.1038/s41699-023-003
71-7
Gupta S, Kutana A, Yakobson BI (2020) Heterobilayers of 2D materials as a platform for excitonic
superfluidity. Nat Commun 11. https://doi.org/10.1038/s41467-020-16737-0
He J, Wen Y, Han D et al (2023) N-and p-type doping of transition-metal dichalcogenides by Ar
plasma treatment and its application in CMOS. Mater Sci Semicond Process 158. https://doi.
org/10.1016/j.mssp.2023.107347
Holt M, Mortazavi Zanjani SM, Sadeghi MM, Akinwande D (2017) 3D heterogeneous integrated
monolayer graphene Si-CMOS RF gas sensor platform. In: 2017 IEEE international electron
devices meeting (IEDM). IEEE, pp 18.5.1–18.5.4
Hoseinpour V, Shariatinia Z, Echegoyen L (2023) Design, synthesis, optical studies, and application
of all-inorganic layered double perovskites as stabilizers in ambient air processed perovskite
solar cells. Mater Res Bull 159:112088. https://doi.org/10.1016/j.materresbull.2022.112088
Huang Y, Liang J, Chen Y (2012) An Overview of the applications of graphene-based materials in
supercapacitors. Small 8:1805–1834. https://doi.org/10.1002/smll.201102635
Huang L, Xu H, Zhang Z et al (2014) Graphene/Si CMOS hybrid hall integrated circuits. Sci Rep
4:5548. https://doi.org/10.1038/srep05548
Huang HH, Fan X, Singh DJ, Zheng WT (2020) Recent progress of TMD nanomaterials: phase
transitions and applications. Nanoscale 12:1247–1268. https://doi.org/10.1039/C9NR08313H
6 Heterogeneous Integration of 2D Materials with Silicon … 175
Park Y, Kim UJ, Lee S et al (2023) On-chip Raman spectrometers using narrow band filter array
combined with CMOS image sensors. Sens Actuators B Chem 381. https://doi.org/10.1016/j.
snb.2023.133442
Patel S, Sutaria S, Daga R et al (2023) A systematic study on complementary metal-oxide semi-
conductor technology (CMOS) and internet of things (IOT) for radioactive leakage detection in
nuclear plant. Nucl Anal 2. https://doi.org/10.1016/j.nucana.2023.100080
Prasad SVS, Mishra RK, Gupta S et al (2021) Introduction, history, and origin of two dimensional
(2D) materials, pp 1–9
Quellmalz A, Wang X, Sawallich S et al (2021) Large-area integration of two-dimensional materials
and their heterostructures by wafer bonding. Nat Commun 12:917. https://doi.org/10.1038/s41
467-021-21136-0
Radamson HH, Zhang Y, He X et al (2017) The challenges of advanced CMOS process from 2D
to 3D. Appl Sci (Switzerland) 7. https://doi.org/10.3390/app7101047
Radamson HH, Zhu H, Wu Z et al (2020) State of the art and future perspectives in advanced CMOS
technology. Nanomaterials 10:1555. https://doi.org/10.3390/nano10081555
Rahil M, Ansari RM, Prakash C et al (2022) Ruddlesden-Popper 2D perovskites of type
(C6H9C2H4NH3)2(CH3NH3)n−1PbnI3n+1 (n = 1–4) for optoelectronic applications. Sci Rep
12:2176. https://doi.org/10.1038/s41598-022-06108-8
Sakai N, Ebina Y, Takada K, Sasaki T (2005) Photocurrent generation from semiconducting
manganese oxide nanosheets in response to visible light. J Phys Chem B 109:9651–9655. https://
doi.org/10.1021/jp0500485
Sarney WL, Glasmann AL, Pearson JS et al (2023) Monolithic integration and ferroelectric phase
evolution of hafnium zirconium oxide in 2D neuromorphic synaptic devices. Mater Today Nano
24. https://doi.org/10.1016/j.mtnano.2023.100378
Schwierz F, Ziegler M (2022) Six decades of research on 2D materials: progress, dead ends, and
new horizons. IEEE J Electron Dev Soc 10. https://doi.org/10.1109/JEDS.2022.3144500
Serdar D (2019) Heterogeneous silicon/III-V photonic integration for ultralow noise semiconductor
lasers. Sustainability (Switzerland) 11
Shao L, Duan X, Li Y et al (2021) Two-dimensional Ga2 O2 monolayer with tunable band gap and
high hole mobility. Phys Chem Chem Phys 23:666–673. https://doi.org/10.1039/D0CP05171C
Spott A, Stanton EJ, Volet N et al (2017) Heterogeneous integration for mid-infrared silicon
photonics. IEEE J Sel Topics Quantum Electron 23. https://doi.org/10.1109/JSTQE.2017.269
7723
Sun Z, Martinez A, Wang F (2016) Optical modulators with 2D layered materials. Nat Photonics
10
Tang Z, Chen S, Li D et al (2023) Two-dimensional optoelectronic devices for silicon photonic
integration. J Materiomics 9. https://doi.org/10.1016/j.jmat.2022.11.007
Thomas SA, Patra A, Al-Shehri BM et al (2022) MXene based hybrid materials for supercapacitors:
recent developments and future perspectives. J Energy Storage 55:105765. https://doi.org/10.
1016/j.est.2022.105765
Tiwari SK, Mishra RK, Ha SK, Huczko A (2018) Evolution of graphene oxide and graphene: from
imagination to industrialization. ChemNanoMat 4. https://doi.org/10.1002/cnma.201800089
Turunen M, Brotons-Gisbert M, Dai Y et al (2022) Quantum photonics with layered 2D materials.
Nat Rev Phys 4
Tyagi A, Banerjee S, Cherusseri J, Kar KK (2020) Characteristics of transition metal oxides, pp
91–123
Vereshchagina E, Wolters RAM, Gardeniers JGE (2011) Measurement of reaction heats using a
polysilicon-based microcalorimetric sensor. In: Sensors and actuators, A: physical
Wang KL, Khalili Amiri P (2012) Nonvolatile spintronics: perspectives on instant-on nonvolatile
nanoelectronic systems. SPIN 2. https://doi.org/10.1142/S2010324712500099
Wang BB, Zhu MK, Ostrikov K et al (2015a) Structure and photoluminescence of molybdenum
selenide nanomaterials grown by hot filament chemical vapor deposition. J Alloys Compd
647:734–739. https://doi.org/10.1016/j.jallcom.2015.05.237
6 Heterogeneous Integration of 2D Materials with Silicon … 179
Abstract Due to continuous scaling of the MOS, the performance has been degraded
due to PVT variations. The high level of leakage currents, threshold variations, and
short-channel effects are responsible toward the degradation of the MOS devices. At
this point of time, a device which exhibits low leakage and low subthreshold swing
is much needed, and tunnel field-effect transistors (TFETs) reported to be a suitable
alternative to MOSFETs. TFETs basically worked on the quantum tunneling effect,
and the band-to-band tunneling mechanism is used in which the charge carriers
whether electrons or holes pass through a thin energy barrier between the source
and the channel regions. It has basically three regions: source, drain, and channel.
Semiconductor material like silicon or III–V compounds is used for channel regions.
For gate oxide, the dielectric material is used, and choice of high k dielectric material
is very crucial. The high dielectric constant materials (high k) are preferred as they
help in reducing the tunnel barrier. In this chapter, we have discussed need of TFET
devices, their structure type of TFETs along with applications.
Introduction
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 183
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_7
184 P. K. Kumawat et al.
current I on and off-state current I off (Satheshkumar 2012). The subthreshold swing
in a MOSFET is computed by ln10 (kT /q) and exhibits a minimum of 60 mV/decade
at 300 K temperature (Zhang et al. 2006; Poorvasha et al. 2017). A high subthreshold
swing causes MOSFET limitations. TFET can overcome the limitations of MOSFET
because TFET has the advantage of operating on the band-to-band tunneling prin-
ciple. This mechanism uses much less power than a MOSFET, and by modifying
certain device parameters, the subthreshold swing of a TFET can be improved. These
device parameters include the dielectric material and its thickness, the gate material
and its work function, the body thickness and doping, etc. (Saurabh 2017).
In this chapter, we study the basics of TFET devices. Different device structures
of TFET and types will be described to understand the characteristics of TFETs and
further illustrate the use of TFETs in digital circuits and their applications. In the last
part of this chapter, rectifiers and charge pumps using TFETs are demonstrated.
Fig. 7.1 TFET device structure a NTFET and b PTFET (Saurabh 2017)
The TFET device consists of asymmetric structure because the source and the drain
on both sides have different doping types. This also restricts the exchange of source–
drain which is possible in MOSFETs. The source and the drain on both sides have
different doping types while the channel is either intrinsic or lightly doped. Due to
BTBT, the charge carriers tunnel from the source to the channel and this tunnelling
helps to achieve I on /I off ratio. The energy level in the valence band of the source
and the energy level in the conduction band of the channel are not aligned in the off
condition of the device that prevents carriers from tunneling and keeps the leakage
current very low. When the gate is biased and the device is in the on state, the
conduction band of the channel region is pushed downward, allowing it to align with
the valance band of the source. This alignment permits carrier injection and tunneling
from the source region to the channel region by reducing the breadth and height of
the tunneling barrier. As a result, TFET devices can operate effectively below the
subthermionic limitations with subthreshold swing values below 60 mV/dec. When
the bands are in alignment, this enables a quick turn-on. The charge carrier flowing in
the channel defines the type of the TFET. TFETs are two types: When the electrons
inject from source to channel, the TFET is called as N-type TFET, and when the holes
are injected into the channel through the source, the TFET is called as P-type TFET
(Saurabh 2017). The device structure of N-type and P-type TFETs is demonstrated
in Fig. 7.1.
Tunnel FETs (TFETs) are currently the subject of much interest and have emerged
as an alternative of CMOS devices. For extremely low-power and energy-efficient
computing applications, the tunnel FET has been proposed as a replacement for tradi-
tional CMOS technologies. The TFET is one of the most appealing steep subthreshold
slope devices. As a result, TFETs have been researched a lot as a solution for low
power density and energy efficiency issues.
186 P. K. Kumawat et al.
Fundamentally, tunnel FETs can scale their threshold voltage (V th ) and V dd beyond
the capabilities of CMOS without affecting their static leakage and current because
of their extremely steep subthreshold slope. Numerous studies have looked at TFET
benchmarking at circuit level with a variety of objectives that are useful in device
design. Numerous studies have been done that compares TFET transistors to CMOS
(Núñez and Avedillo 2017).
Types of TFET
Planar TFETs
The first transistor to use a tunneling mechanism was the surface tunnel transistor.
The surface tunnel transistor is a planar type TFET, and the device structure is similar
to a p-i-n diode structure. In a surface tunnel transistor, the channel is intrinsic, and
the channel and gate are separated by an insulator. The type of doping for drain and
source is not similar (Baba 1992; Turkane and Kureshi 2016). For source, the type
of doping is different from drain or opposite in nature. The structure of the surface
tunnel transistor is depicted in Fig. 7.2.
A buried oxide (BOX) thin layer distinguishes an SOI TFET structure from the
surface tunnel transistor. The structure of an SOI TFET is depicted in Fig. 7.3. It is
made from a layer of ultrathin (about 10 nm) silicon (Si) formed on a Si substrate
above a layer of buried oxide (roughly 100 nm). Before the deposition of the gate,
source, and drain metal contacts, the gate oxide is mounted on the thin silicon layer.
7 TFET: From Material to Device Perspective 187
The drain and source are then made using the proper doping in the Si layer. When
the whole thin Si layer is drained, the buried oxide layer in an SOI TFET prevents
all sources to drain leakage across the bulk region. The source/drain to substrate
capacitance is decreased as a result of the smaller source–body and drain–body
depletion regions that also improved gate control (Mamidala et al. 2016; Turkane
and Kureshi 2016).
Junctionless TFET
As implied by the name, there is no P–N junction and metallurgical junction exists in
JTFET. The benefits of both TFET and JLFET are present in JLTFET. In this, source
and drain both have the same type of doping. The channel is also heavily doped.
Frequently, silicon is used to create junctionless TFETs. The subthreshold swing
and current can be improved by using a high-k material. The p-gate and control gate
have different work functions. The control gate work function is often lower than the
p-gate work function to achieve higher current and subthreshold swing (Ghosh and
Akram 2013). Figure 7.4 depicts the JLTFET’s structure.
Dual-Gate TFET
Dual-gate TFET exhibits a primary and auxiliary gate where each gate has a
different work function (Dutta et al. 2018). To enhance the gate control over the
188 P. K. Kumawat et al.
channel, multiple gate materials can be employed. Dual-gate TFET provides supe-
rior gate control than single-gate TFET or conventional TFET. Dual-gate TFETs
have improved electrostatic control, minimal DIBL effects, high on-state current,
low off-state current, and a high I on to I off ratio (Usha and Vimala 2019). Figure 7.5
depicts a dual-gate TFET structure.
Heterojunction TFET
Another well-known TFET that uses a source pocket is the PNPN TFET that boosts
the tunneling rate. In PNPN structure TFET, the tunneling is done at the interface
of the source and source pocket that results in a reduced tunneling width and an
improved tunneling rate. PNPN TFET structure is depicted in Fig. 7.7. As compared
to conventional TFETs, this PNPN TFET exhibits higher I on current and a low
subthreshold current (Marjani and Hosseini 2014).
Three-Dimensional TFETs
The FinFET and the gate-all-around nanowire TFET are two of the most significant
three-dimensional structures (Mamidala et al. 2016).
FinFET
FinFETs are one of the types of multi-gate field-effect transistors that have supe-
rior gate control to planar MOSFET. A fin-like piece of the thin silicon film is
used in FinFETs. FinFET is a non-planar three-dimensional device. The gate in
a FinFET regulates both sides of the fin channel. To improve electrostatic control
over subthreshold leakage and saturation current, the FinFET structure was created.
FinFET can replace bulk MOSFETs due to their improved SS, improved stability,
higher I on /I off ratio, improved short-channel performance, and lower intrinsic gate
capacitance. Among other FinFET variants, tri-gate and double-gate structure show
good short-channel properties (Maurya and Bhowmick 2022). It was Intel that
commercially released the first silicon chips based on FinFET technology in 2012.
Intel was the company that made the first generation of 22-nm node FinFETs (Naif
2021). Figure 7.8 depicts this tri-gate 22-nm node FinFET.
A gate-all-around (GAA) TFET considerably enhances the gate control that a double-
gate TFET already provides. The nanowire is suitable for continuously getting
smaller transistors due to its small size. The diameter of the nanowire is the most
crucial physical factor in a nanowire TFET. To enhance the gate control, the diameter
of the nanowire should be reduced resulting in a higher I on and a steeper subthreshold
swing. The structure of a GAA TFET is depicted in Fig. 7.9. It consists of a channel
length of 100 nm, drain and source of 20 nm length, oxide thickness (t ox ) of 2 nm,
and a radius of 10 nm (Usha and Vimla 2021).
7 TFET: From Material to Device Perspective 191
A TFET device must possess certain qualities such as high I on , low I off, and minimum
subthreshold swing for achieving better switching performance. The device charac-
teristics can change with a slight modification in its physical parameters. The large
energy bandgap of a conventional Si TFET causes it to have a low on current and
small subthreshold swing in addition to low off current also which is required. One
of the most popular approaches for utilizing a small bandgap of germanium while
maintaining the I off within an acceptable amount is to employ germanium coupled
with silicon in a heterojunction. Due to the effective bandgap of both silicon and
germanium, the I on produced in a Si–Ge heterojunction-based TFET is higher than
Si and Ge TFET. In Si–Ge heterojunction-based TFETs, a low I off is achieved by
taking advantage of a silicon-wide bandgap. Additionally, germanium is used to
increase the I on because of its narrow bandgap (Saurabh 2017; Toh et al. 2008; Shih
and Chien 2011).
As the source doping concentration rises, the electric field in the source–channel
junction increases, which results in the bandgap narrowing and the energy bandgap
decreasing. As a result, the I on current increases. The abrupt source doping concen-
tration affects the tunneling current as well. The more abrupt the doping and the
more I on current it produces, the stronger the electric field at the source channel
junction. It should be noted that source doping concentration has no impact on the
I off current. The subthreshold swing can be reduced and I on can be increased even
for a non-abrupt source doping profile by selecting the gate–source overlap (Saurabh
2017; Hyung and Chi 2009).
Drain current and subthreshold swing, two electrical properties of TFETs, are
dependent on body thickness. In a TFET, silicon body thickness affects the amount
of silicon that is available for BTBT, which raises the drain current. However, body
thickness also affects gate control. As a result, as body thickness increases, the
gate control degrades, and the drain current decreases. Optimal body thickness is
necessary for maximum drain current. I on current decreases for the dual-gate TFET
with a 5 nm body thickness due to the thin body and small Si volume at tunneling.
The Si volume for BTBT increases as body thickness rises to 10 nm. Due to the gate
control being successfully reached in the middle of the thin Si layer, the resulting I on
increases almost linearly. Additionally, the gate-to-channel coupling is effective as
192 P. K. Kumawat et al.
Since tunnel FET research is still in the exploratory stage, scientists are experimenting
with a wide variety of material systems including various carbon allotropes to realize
TFETs. Carbon nanotube (CNT) and graphene have small and moderate bandgaps
that are suitable for use in TFETs.
In contrast to the conventional MOSFET structures that uses bulk Si as the channel
material, a carbon nanotube FET (CNTFET) uses a single or an array of carbon
nanotubes. Either a ballistic or diffusive conduction mechanism can be used in a
CNT. When the electron is transmitted without interference from defects, impuri-
ties, or phonon scattering, this is called as ballistic transport. Ballistic transport is
possible if the length of a CNT is shorter than the mean free path length in the mate-
rial. Although the mean free path of CNT is incredibly long, as a result, ballistic
transport can be seen in CNTs with lengths close to 100 nm at room temperature.
The conduction mechanism, which is a key factor in determining electrical properties
like I on and subthreshold swing, is crucial in CNT transistors making the ballistic
transport important. Additionally, there is no energy loss in the channel if the trans-
port mechanism in a transistor is solely ballistic (Saurabh 2017; Frank et al. 1998;
Poncharal et al. 2002).
CNT has a small effective carrier mass and is a direct bandgap material. As a result,
a CNT TFET has higher tunneling than a TFET built using a silicon-based indirect
bandgap material. To preserve the carriers’ transverse momentum in indirect bandgap
materials, additional processes like phonon emission or absorption are necessary that
reduces the BTBT rate. Based on their diameter and chirality, CNTs have a moderate
bandgap that can vary over a broad range. A CNT gate voltage can be precisely
controlled over the bands using one-dimensional electronic transport. As a result, a
CNT TFET can have its valence band elevated above its conduction band by using
a small gate voltage. CNT’s small diameter increases BTBT causing the on-state
current to be larger (Koswatta et al. 2009). A schematic view of CNT TFET is
depicted in Fig. 7.10. When phonon scattering is taken into account with ballistic
mode of operation, the simulation findings (Koswatta et al. 2009) demonstrate that
the subthreshold swing is less than 60 mV/dec at room temperature (Saurabh 2017).
7 TFET: From Material to Device Perspective 193
Fig. 7.10 Schematic view of CNT TFET (Saurabh 2017; Koswatta et al. 2009)
Graphene TFETs
Since graphene’s bandgap is around zero, obtaining a minimum I off and a high I on
is the most challenging problem for TFETs based on graphene. As a result, the
main objective of research on graphene TFETs is to create a controlled bandgap
that will allow transistors to be switched off. Graphene does have some drawbacks
but it also has some benefits. Greater gate control and reduced short-channel effects
are made possible by graphene atomic layer thickness. With a low effective mass,
graphene has extremely good carrier mobility. Different kinds of heterostructures can
be formed using graphene, and graphene-based heterostructures can produce small
effective bandgaps. Graphene-based TFETs include graphene nanoribbons (GNR)-
based TFET, bilayer graphene TFET, graphene-on-based TFET, and zero-bandgap
graphene TFET. All of these structures utilized various methods to create a usable
bandgap. Only TFETs based on GNR will be discussed in this section (Saurabh 2017).
A lot of research is being done on the potential applications of graphene nanorib-
bons (GNR) for TFETs. However, it is very difficult to fabricate GNR with deter-
ministic characteristics like width, edge disorder, and roughness. The crucial role
that edge bond relaxation plays in determining the electrical properties of a GNR
TFET is its most distinctive characteristic. The abrupt change in the characteris-
tics of the carbon–carbon bonds at the GNR edges is where the edge bond relax-
ation first appears. Furthermore, despite significant advancements in graphene-based
electronics, the increase in I off at higher supply voltages makes it still very diffi-
cult to develop graphene-based TFETs that can outperform conventional MOSFETs
(Saurabh 2017; Zhao et al. 2009). Figure 7.11 depicts a schematic view of GNR-based
TFET where L = 30 nm and t ox = 1.5 nm.
194 P. K. Kumawat et al.
Digital Circuits
Power dissipation, delay, rise and fall times, and the area of the designed circuit are
the main factors that are used to determine the performance of digital circuits. These
characteristics are derived for TFET-based circuits and put up against its rival CMOS
circuits to assess the advantage of tunnel FET over MOSFET for digital applications.
The most fundamental digital circuit is an inverter. So, researchers have focused more
on the characteristics of inverters made with TFETs.
TFET Inverters
A PTFET forms the pull-up network in the TFET inverter circuit, and NTFET
forms the pull-down network similar to CMOS as depicted in Fig. 7.12. An inverter
using a PTFET with a lower driving strength exhibits asymmetrical behavior in its
voltage transfer characteristics. In general, NTFETs have better driving strength as
compared to PTFETs. Multiple PTFETs can be used in a pull-up network to resolve
this problem. Multiple PTFETs make the symmetrical transfer characteristics and
switch at V dd /2. The current in the PTFET is increased using device-level methods
(Baravelli et al. 2014).
Even when the V dd = 0.2 V, a strained Si nanowire TFET-based inverter shows a
sharp transition in the voltage transfer characteristics (VTC). However, for high input
7 TFET: From Material to Device Perspective 195
Fig. 7.12 Circuit diagram of TFET inverter and transient response showing overshoot and
undershoot (Saurabh 2017; Dey et al. 2012)
voltage, the output voltage is greater than 0 V and for low input voltage, the output
voltage is less than V dd for an inverter. This decline in inverter properties is caused
by the TFET ambipolar behavior (Dey et al. 2012). The VTC of an inverter shows
rail-to-rail transitions if the NTFET and PTFET ambipolar currents are suppressed
using the appropriate device optimization techniques. The VTC of the TFET inverter
can significantly degrade when compared to a CMOS inverter as a result of TFET
delayed onset of saturation (Saripalli et al. 2011). Transients of undershoot and
overshoot are frequently seen in the transient response of a typical TFET-based
inverter. Simulations and experiments have shown (Dey et al. 2012) that a TFET
inverter exhibits overshoot and undershoot during transient responses. The inverter
overshoots and undershoots when the input changes from low to high or from high
to low (Saurabh 2017; Mookerjea et al. 2009).
The competitive advantages of TFET inverters over CMOS inverters have been
evaluated by several researchers. The majority of studies show that advanced
MOSFET-based CMOS inverters are faster at higher supply voltages while TFET
inverters are quicker at lower supply voltages. For example, at low supply voltage
V dd = 0.25 V, TFET inverter outperforms FinFET inverters by 10 and 100 times
faster, respectively. If the supply voltage increases (V dd > 0.4 V), TFET inverters are
not superior to inverters realized using FinFET in terms of competitive advantage
(Baravelli et al. 2014).
The unidirectional current flow in TFET creates some problems with the conventional
use of TFETs for pass-transistor logic even though they can be used to implement
pass-transistor logic because these issues can be demonstrated using a circuit that
uses PTFET and NTFET with an input connected to their source and output connected
to their drain terminal of transistors. The select signal is connected to the gate of an
NTFET, and the invert of the select signal is connected to the gate of a PTFET as
shown in Fig. 7.13.
196 P. K. Kumawat et al.
The conduction of transistor during the select signal highly depends on the polarity
of the voltage V ds (V ds = (V out − V in )). For a positive value of V ds , the NTFET
conducts while for a negative value of V ds , the PTFET will conduct. Although, if
the MOSFET is used instead of the TFET in this circuit, for the high value of select
signal, PMOS and NMOS will both conduct and will provide a robust drive current
and robust 0 and 1 logic. As a result, it is anticipated that the implementation of pass-
transistor logic using TFET will be slower than that of pass-transistor logic using
MOSFET. By connecting two NTFETs in parallel with their drains facing opposite
directions, the issue with using TFETs to implement pass-transistor logic can be
avoided. If the signal select is high, the NTFET-top will conduct if V ds_NTFET-Top is
greater than 0 V, and the NTFET-bottom will conduct if V ds,NTFET-Bottom is greater than
0 V. Thus, a bidirectional switch can be achieved. However, including an additional
NTFET increases the circuit area and input capacitance (Saurabh 2017; Mukundrajan
et al. 2012) (Fig. 7.14).
Multiplexers can be implemented using pass-transistor logic, and a TFET unidi-
rectional current flow can be used to achieve a compact implementation. When
implemented using conventional MOSFETs, a topology that combines the pull-up
network and pull-down network would typically result in a short circuit. However,
the unidirectional current flow in a TFET allows for this to happen. As a result,
the compact multiplexer implementation can use eight rather than ten transistors
(Saurabh 2017; Morris et al. 2014). Apart from this, TFETs have been widely used
in memories like SRAM and analog circuits also.
7 TFET: From Material to Device Perspective 197
Fig. 7.14 Pass-transistor logic circuit using two NTFETs (Saurabh 2017)
Compared to static logic, CML circuits offers a lower voltage swing. The schematic
for a CML circuit using TFETs is depicted in Fig. 7.15. The two sections of the
schematic are a pull-up and a pull-down networks. The pull-up network of CML
consists of two PTFETs. Instead of two PTFETs, two resistors can be used, but
PTFETs in pull-up network exhibit significantly less power and has a smaller surface
area comparatively. Pull-up networks in CML primarily serve as loads to control the
DC voltage drop at the output. The resistance of PTFET can be changed by simply
adjusting the gate bias that will change the output voltage accordingly. At the bottom,
one NTFET is integrated to serve as a current source and regulate the output voltage
swing. In a CML circuit, the main functional component is the NTFET-based pull-
down network. Different combinations of a group of TFETs can be used to implement
the various logic functions. Note that differential pairs must be used as the pull-down
network inputs.
A current mode inverter/buffer based on a TFET is depicted in Fig. 7.15 where two
inputs IN and INb control a single pair of transistors. The constant driving current is
supplied by transistor M5 which is tunable by gate voltage V bias . The outputs O/P1
and O/P2 are charged and discharged using transistor M5 as well as transistors M3
and M4. When logic 1 is applied at IN, M1 is activated, and the constant current I c
travels along the left side of the circuit. This causes O/P1 to discharge to a specific
value between V dd and GND, while O/P2 alternately charges to quasi V dd . It should
be noted that logic 1 is relatively close to V dd while logic 0 is typically defined as
half V dd . As the O/P1 voltage is below logic 1, it is considered to be logic 0 in
this situation. The schematic achieves the inverter function if the inverted O/P2 is
extracted as the inverted output and O/P1 is extracted as the output. However, if O/
P1 is treated as the inverted output and O/P2 is treated as the output, the circuit acts
as a buffer (Bi et al. 2017).
198 P. K. Kumawat et al.
Fig. 7.15 CML circuit and CML using TFET (Bi et al. 2017)
TFET-Based Rectifiers
The gate cross-coupled rectifier topology has received attention because of its easy
implementation and works effectively in low voltage/power applications. According
to the authors’ findings (Cavalheiro 2017), the GCCR with GaSb–InAs heterojunc-
tion TFET (HTFET) devices offers superior power conversion as compared to other
rectifier topologies. The power conversion efficiency (PCE) is greater than 50%
between −40 and −25 dBm. By lowering the reverse-biased losses of individual
transistors at the rectifier stage, it is possible to increase the voltage or power range
of rectifiers despite good performance demonstrated at low power operation. At
higher voltage levels, the PCE falls not only as a result of the on-state transistors’
increased conduction losses but also as a result of the not fully closed transistor’s
on-state operation and the subsequent flow of reverse current (Cavalheiro et al. 2017)
(Fig. 7.16).
When compared to thermionic devices, TFETs in rectifiers have a small reverse
current and higher drive current at low supply voltages which results in high PCE at
lower RF voltage. For each TFET device in GCCR, the bulk of the period cycle is
spent in off state. At low reverse bias voltage, the magnitude of the reverse current
7 TFET: From Material to Device Perspective 199
Fig. 7.16 a Gate cross-coupled rectifier (GCCR) using TFET and b region I and II operation
(Cavalheiro 2017; Cavalheiro et al. 2017)
rises and then falls at higher values of reverse bias defining the negative differential
resistance region of the TFET. While thermionic device exhibits a reverse current,
under these circumstances, it gets stronger as the reverse bias gets stronger. The
TFET structure similar to the intrinsic p-i-n diode is in forward bias when reverse
bias is applied. The performance of TFET-based rectifiers is significantly decreased
because the large increase in reverse current in TFETs occurs at large increases in
reverse bias, which are both associated with high RF voltages. The reverse losses in
the heterojunction TFET-GCCR exponentially increase as the RF voltage magnitude
increases. Due to this, TFETs can only operate at low voltage in rectifiers (Cavalheiro
et al. 2017; Liu et al. 2014). As the solution to the significant losses caused by TFETs
in rectifiers, during the off-state condition, apply a low value of V gs . By applying
V gs equal to V ds , the reverse current of the HTFET (L g = 40 nm) is attenuated over
a large range of reverse bias.
To decrease the losses in rectifiers, a new topology is therefore required.
Researchers proposed a different topology using TFET devices in which the gates
of transistors T1 and T3 are biased with RF+ when RF+ is greater than RF− signal
applied (Cavalheiro 2017). Similarly, a gate of T2 and T4 is biased with RF− when
RF− < RF+ . The transistors T1 and T3 as well as T2 and T4 share the same gate to
achieve this behavior. The gates of T1 and T3 must be biased by two auxiliary TFET
devices T5 and T6, and the gates of transistors T2 and T4 must be biased by two
auxiliary transistors T7 and T8. The researcher proposed a topology to maintain the
same V ds bias for the four primary transistors T1 to T4 (Fig. 7.17).
In an ideal rectifier, the primary transistors T2 and T3 and the auxiliary transistors
T5 and T8 are in the on state in the region 1 of operation when RF+ > RF− , whereas
other transistors are in off state. T1, T4, T6, and T7 are characterized as being in on
the state in region 2 of operation when RF+ > RF− , while other transistors are in the
off state.
Ideally, the value of voltage V gs is zero at the reverse-biased condition in regions
1 and 2. In an ideal situation, forward bias magnitude of V gs is same as it is there for
traditional GCCR topology. This situation considerably reduces the reverse current,
and resultant reverses the losses of the topology. It is significant to notice that at
this moment, auxiliary transistors in this rectifier topology running in their off-state
condition show a nonzero V gs value suggesting that the reverse current in these
200 P. K. Kumawat et al.
transistors is predicted. The ratio of widths between the main and auxiliary devices
can be increased to reduce the reverse losses caused by the auxiliary transistors and
enhance the PCE of the rectifier stage (Cavalheiro 2017; Cavalheiro et al. 2017).
Numerous methods including charge pumps have been researched to help low-power
energy harvesting devices to improve their output voltage. Higher power conversion
efficiency is made possible by TFET devices when compared to employing a standard
technology in the charge pump circuit.
A typical charge pump using TFETs is shown in Fig. 7.18 with a gate cross-
coupled (GCCCP) architecture. When operating at low voltages, this GCCCP charge
pump performs better than other charge pumps. Two operational regions make up the
GCCCP converter’s operating principle. CLK 1, low-to-high transition in a region I
causes node int1 voltage to increase to 2V dd − V ds1 . At node int2, voltage is decreased
and reaches V dd − V ds2 . At the same time, transistors T1 and T4 operate in an off
state at reverse biased in this region, and the other two transistors are in on state and
forward biased. In region II, T1 and T4 are in a forward-bias condition and other
transistors are in a reverse-bias condition, resulting in decrease in voltage at node
int1 for the CLK 1 at high-to-low transition and increase in voltage at node int2 for
the CLK 2 at low-to-high transition (Cavalheiro 2017; Cavalheiro et al. 2017).
It is crucial to reduce the reverse current generated by TFETs when they are
operating in their off state, because the transistors used in charge pumps operate at
7 TFET: From Material to Device Perspective 201
Fig. 7.18 a Conventional charge pump, b charge pump using TFET device, c I and II regions
(Cavalheiro et al. 2017)
forward and reverse bias throughout successive periods (Cavalheiro 2017). To lower
the reverse losses of the converter and increase conversion efficiency at a large range
of voltage operations, a change in the conventional charge pump topology is needed.
In heterojunction TFETs (HTFET), gate bias can control the magnitude of reverse
current. In the reverse-bias condition of an HTFET, the reverse current magnitude
is independent of the V gs value. To more effectively reduce this reverse current, the
internal resistance of the device should be increased in the reverse-bias condition for
a low V ds value (less than 0.7 V), or this current can be reduced by applying V gs =
0 V at reverse-bias condition. These are the limitations of using HTFET devices in
charge pumps during reverse current conduction. Also, power conversion efficiency
decreases if V ds is higher than 0.7 V.
By varying the V gs value of the reverse-biased tunnel FETs, changes to the conven-
tional GCCCP topology can enhance PCE for lower voltage operations. In (Caval-
heiro et al. 2017), the researchers proposed a change to the typical GCCCP topology.
In reverse-biased condition, two p-type transistors gate control signals are redirected
to the bottom of the two coupling capacitors and drive the V gs of T3 and T4 to V ds1
and V ds2, respectively. Additionally, under reverse-bias conditions, T1 and T2 do not
show attenuated reverse current conduction. Therefore, a new topology is required.
Setting the V gs = 0 V of heterojunction TFETs operating under reverse-biased
conditions or off state may be a feasible circuit-level methods to reduce the reverse
current. As demonstrated in Fig. 7.19, auxiliary transistors and capacitors can be
employed to implement this behavior. The n-type transistor (T1) is connected and
biased by the auxiliary transistor T aux and capacitor C aux as shown in Fig. 7.18. While
the p-type transistor (T3) is connected and biased by two auxiliary inverters (T aux ) and
capacitor C aux, and a similar method is applied for other T2 and T4 transistors which
(Cavalheiro et al. 2017) provides a demonstration of the full simulation process.
When the transistor T1 is forward biased, the proposed solution is applied at V gs =
0 V, and when it is reverse biased, it is applied at a positive V gs value. The use of
p-TFET devices is suggested for a similar solution. The gate voltage of the p-device
T3 must be fixed in this situation to be equal to the lowest voltage value at nodes int1
and int2 that calls for the use of an auxiliary inverter. The highest voltage of nodes
int1 and int2 is applied to the inverter’s input after biasing it with that voltage. When
202 P. K. Kumawat et al.
Fig. 7.19 Solutions for reverse current conduction using transistors in the GCCCP topology
(Cavalheiro 2017)
the p-device is reverse biased, the suggested method applies a V gs magnitude near
0 V, and when it is forward biased, it applies a negative V gs (Bi et al. 2017).
Conclusion
References
Baba T (1992) Proposal for surface tunnel transistors. Jpn J Appl Phys 31(4):L455–L457. https://
doi.org/10.1143/JJAP.31.L455
Baravelli E, Gnani E, Gnudi A, Reggiani S, Baccarani G (2014) TFET inverters with n-/p-devices
on the same technology platform for low-voltage/low-power applications. IEEE Trans Electron
Devices 61(2):473–478. https://doi.org/10.1109/TED.2013.2294792
Bi Y, Shamsi K, Yuan JS, Jin Y, Niemier M, Hu XS (2017) Tunnel FET current mode logic for
DPA-resilient circuit designs. IEEE Trans Emerg Top Comput 5(3):340–352. https://doi.org/10.
1109/TETC.2016.2559159
7 TFET: From Material to Device Perspective 203
Cavalheiro D (2017) Ultra-low power circuits based on tunnel FETs for energy harvesting
applications. TDX (Tesis Doctoral en Xarxa). Available http://www.tdx.cat/handle/10803/
406391
Cavalheiro D, Moll F, Valtchev S (2017) Insights into tunnel FET-based charge pumps and rectifiers
for energy harvesting applications. IEEE Trans Very Large Scale Integr Syst 25(3):988–997.
https://doi.org/10.1109/TVLSI.2016.2617203
Dey AW, Svensson J, Borg BM, Ek M, Wernersson LE (2012) Single InAs/GaSb nanowire low-
power CMOS inverter. Nano Lett 12(11):5593–5597. https://doi.org/10.1021/nl302658y
Dutta U, Soni MK, Pattanaik M (2018) Design and analysis of tunnel FET for low power high
performance applications. Int J Mod Educ Comput Sci 10(1):65–73. https://doi.org/10.5815/
ijmecs.2018.01.07
Frank S, Poncharal P, Wang ZL, De Heer WA (1998) Carbon nanotube quantum resistors. Science
(80-.) 280(5370):1744–1746. https://doi.org/10.1126/science.280.5370.1744
Ghosh B, Akram MW (2013) Junctionless tunnel field effect transistor
Hyung SY, Chi OC (2009) Insights and optimizations of tunnel field-effect transistor operation.
Device research conference—conference digest. DRC, pp 87–88. https://doi.org/10.1109/DRC.
2009.5354853
Koswatta SO, Lundstrom MS, Nikonov DE (2009) Performance comparison between p-i-n
tunneling transistors and conventional MOSFETs. IEEE Trans Electron Devices 56(3):456–465.
https://doi.org/10.1109/TED.2008.2011934
Liu H, Li X, Vaddi R, Ma K, Datta S, Narayanan V (2014) Tunnel FET RF rectifier design for
energy harvesting applications. IEEE J Emerg Sel Top Circuits Syst 4(4):400–411. https://doi.
org/10.1109/JETCAS.2014.2361068
Luisier M, Klimeck G (2009) Atomistic full-band design study of InAs band-to-band tunneling
field-effect transistors. IEEE Electron Device Lett 30(6):602–604. https://doi.org/10.1109/LED.
2009.2020442
Poorvasha S, Pown M, Lakshmi B (2017) Tunnel field effect transistors for digital and analog
application a review
Mamidala JK, Vishnoi R, Pandey P (2016) Tunnel field-effect transistors (TFET): modelling and
simulation. https://doi.org/10.1002/9781119246312
Marjani S, Hosseini SE (2015) RF modeling of p-n-p-n double-gate tunneling field-effect transistors.
In: Conference millimeter-wave terahertz technology MMWaTT, vol 2015, March, no December
2014, 2015. https://doi.org/10.1109/MMWaTT.2014.7057188
Maurya RK, Bhowmick B (2022) Review of FinFET devices and perspective on circuit design
challenges. SILICON 14(11):5783–5791. https://doi.org/10.1007/s12633-021-01366-z
Mookerjea S, Krishnan R, Datta S, Narayanan V (2009) On enhanced miller capacitance effect in
interband tunnel transistors. IEEE Electron Device Lett 30(10):1102–1104. https://doi.org/10.
1109/LED.2009.2028907
Morris DH, Avci UE, Rios R, Young IA (2014) Design of low voltage tunneling-FET logic
circuits considering asymmetric conduction characteristics. IEEE J Emerg Sel Top Circuits
Syst 4(4):380–388. https://doi.org/10.1109/JETCAS.2014.2361054
Mukundrajan VNR, Cotter M, Saripalli V, Irwin MJ, Datta S (2012) Ultra low power circuit design
using tunnel FETs. https://doi.org/10.1109/ISVLSI.2012.70
Naif YH (2021) Review on fin shape channel field effect transistor (FinFET), vol 3, no 3, pp
149–155. https://doi.org/10.1109/JEEEMI.v3i3.5
Núñez J, Avedillo MJ (2017) Comparison of TFETs and CMOS using optimal design points for
power-speed tradeoffs. IEEE Trans Nanotechnol 16(1):83–89. https://doi.org/10.1109/TNANO.
2016.2629264
Poncharal P, Berger C, Yi Y, Wang ZL, De Heer WA (2002) Room temperature ballistic conduction
in carbon nanotubes. J Phys Chem B 106(47):12104–12118. https://doi.org/10.1021/jp021271u
204 P. K. Kumawat et al.
Salehi MR, Abiri E, Hosseini SE, Dorostkar B (2013) Design of tunneling field-effect transistor
(TFET) with Al xGa1xAS/InxGa1xAs hetero-junction. In: 2013 21st Iranian conference elec-
trical engineering ICEE 2013, no. May 2013. https://doi.org/10.1109/IranianCEE.2013.659
9777
Saripalli V, Datta S, Narayanan V, Kulkarni JP (2011) Variation-tolerant ultra-low-power hetero-
junction tunnel FET SRAM design. In: Proceedings of 2011 IEEE/ACM international sympo-
sium nanoscale architecture. NANOARCH 2011, vol 1, pp 45–52. https://doi.org/10.1109/NAN
OARCH.2011.5941482
Satheshkumar GMR (2012) Analysis of sub-threshold swing and performance of various tunnel
transistors
Saurabh MJKS (2017) Fundamentals of tunnel field effect transistors. CRC Press, Taylor & Francis
Group, LLC
Shih CH, Chien ND (2011) Sub-10-nm tunnel field-effect transistor with graded SI/GE hetero-
junction. IEEE Electron Dev Lett 32(11):1498–1500. https://doi.org/10.1109/LED.2011.216
4512
Toh EH, Wang GH, Samudra G, Yeo YC (2007) Device physics and design of double-gate tunneling
field-effect transistor by silicon film thickness optimization. Appl Phys Lett 90(26). https://doi.
org/10.1063/1.2748366
Toh EH, Wang GH, Samudra G, Yeo YC (2008) Device physics and design of germanium tunneling
field-effect transistor with source and drain engineering for low power and high-performance
applications. J Appl Phys 103(10). https://doi.org/10.1063/1.2924413
Turkane SM, Kureshi AK (2016) Review of tunnel field effect transistor (TFET). Int J Appl Eng
Res 11(7):4922–4929
Usha C, Vimala P (2019) A compact two-dimensional analytical model of the electrical character-
istics of a triple-material double-gate tunneling FET structure. J Semiconduct 40(12). https://
doi.org/10.1088/1674-4926/40/12/122901
Usha VNRC, Vimla P (2021) Physics-based model for potential distribution and the threshold
voltage of gate-all-around tunnel effect transistor (GAA TFET)
Zhang Q, Zhao W, Seabaugh A (2006) Low-subthreshold-swing tunnel transistors. IEEE Electron
Dev Lett 27(4):297–300. https://doi.org/10.1109/LED.2006.871855
Zhao P, Chauhan J, Guo J (2009) Computational study of tunneling transistor based on graphene
nanoribbon. Nano Lett 9(2):684–688. https://doi.org/10.1021/nl803176x
Chapter 8
Negative Capacitance Field-Effect
Transistor (NCFET): Strong Beyond
CMOS Device
Introduction
In IC technology, each generation has smaller and more complex circuits than
previous generation. In due course of time, the functional density has increased while
geometry size has decreased. Existing CMOS technology has a challenge that it can’t
lower the operating voltage even though it can make transistor features smaller and
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 205
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_8
206 S. K. Swain et al.
Fig. 8.1 Operation of NCFET a polarization at zero bias b polarization when V g > V C
Fig. 8.2 a Energy landscape U of a ferroelectric capacitor when no voltage is applied. The capac-
itance C appears negative when QF = 0. b, c Evolution of the energy landscape when the voltage is
applied across the ferroelectric capacitor that is smaller (b) or greater (c) than the coercive voltage V c.
If the voltage is greater than the coercive voltage, the ferroelectric polarization descends through the
negative capacitance states. P, Q, and R shows different polarization states in the energy landscape
(Khan et al. 2015)
[ ( )−1 ] ( )
d log10 IDS Cs
SS = = 60 1 + (8.1)
dVgs COX
From Eq. (8.1), it is observed that it contains two capacitances, one is gate capac-
itance and the other one is substrate capacitance. If C OX < 0 and |COX | < |CS |, the
208 S. K. Swain et al.
subthreshold will be less than 60 mV/dec and we can obtain the negative capaci-
tance. NCFET structure is similar to MOSFET except a thin layer of ferroelectric
material. There are two primary types of NCFET based upon the types of gate struc-
tures, i.e., metal ferroelectric insulator semiconductor FET (MFISFET) and metal
ferroelectric metal insulator semiconductor FET (MFMISFET). MFIS is a kind of
field-effect transistor with a gate structure that contains a thin layer of ferroelec-
tric material, whereas MFMIS has a metal layer sandwiched between the insulator
and ferroelectric where ferroelectric polarization is maintained uniformly along the
channel.
electrode for external voltage source, whereas bottom electrode is electrically isolated
to preserve entire charge and maintain uniform electric field across gate stack and
semiconductor. It also neutralizes the parasitic charge at the interference during
fabrication process.
Due to the presence of intermediate metal layer, the potential of the ferroelec-
tric layer must be uniform and the continuous boundary condition for electric
displacement can be written as follows:
where E F , E I , and E Si are the electric fields in the ferroelectric layer, insulator, and
semiconductor, respectively. The polarization P is defined as the sum of polarization
in each domain:
P1 + P2
P= (8.3)
2
The boundary condition indicates that uniform depolarization is applied in
ferroelectric layer. This implies that it produces an antiparallel configuration, i.e.,
P1 = −P2 that leads to zero depolarization field. Gauss’s law tells the relation
εSi εO ESi = −QS (ψS ), where QS (ψS ) is space charge in the semiconductor and
ψS is surface potential.
Equation can be written as follows:
VI VI
εI εO = εSiO2 εO = −QS (ψS ), (8.4)
tI EOT
where V F and V I correspond to the voltage drops in ferroelectric layer and insulator
respectively. The total gate voltage can be given by:
VG = ψS + VI + VF
1 1 1 1
= + + , (8.5)
Ctotal CI CF Csemiconductor
ε ε ∈ ∈
where CI = SiO2
EOT
OAI
, CF = F tFOAF .
When comparing MFIS with MFMIS, MFIS offers greater ON-state current than
MFMIS. However, this is not the case for all ferroelectric materials (Pahwa et al.
2018). The smooth hysteresis behavior can better be obtained in MFIS as compared
to MFMIS.
210 S. K. Swain et al.
1 1 1
G = G0 + αP 2 + βP 4 + γ P 6 − EP,
2 4 6
where E is the intensity of electric field, G0 is the energy density, P is the polarization,
and α, β, and γ are constants (Landau coefficients). Here, α can be written as α =
α0 (T − T0 ) where α 0 > 0. In general, α and β can be +ve/−ve but γ is always +
ve for stability reason. Dynamics of G involves with time can be given by Landau–
Khalatnikov (L–K) theory:
dp ∂G
δ =− ,
dt ∂P
where δ is the polarization damping factor.
In steady state
dp ∂G
δ =− =0
dt ∂P
G = αP 2 + βP 4 + γ P 6
8 Negative Capacitance Field-Effect Transistor (NCFET): Strong Beyond … 211
dP
E = αP + βP 3 + γ P 5 + ρ , (8.6)
dt
where α, β, and γ are the material parameters, and ρ is the kinetic coefficient of
ferroelectric polarization. Landau–Khalantikov (L–K) and miller models are used to
analyze the polarization and voltage across ferroelectric materials. In this section,
we discuss L–K equation which is dynamical version of Landau–Devonshire theory.
Figure 8.4 illustrates the simplified capacitance model for voltage amplification
concept. It can be written as a series combination of ferroelectric capacitor (C FE ) and
MOSFET capacitance (C MOS ). The mathematical relationship between gate voltage
(V G ) and MOSFET voltage (V MOS ) can be written as:
CFE
VMOS = VG (8.8)
CFE + CMOS
From Eq. (8.8), it is evident that when C FE is positive, V MOS will be lesser or
equal to V G . When C FE is negative, the equation can be written as:
−|CFE |
VMOS = VG (8.9)
−|CFE | + CMOS
∂VMOS |CFE |
AV = = (8.10)
∂VG |CFE | − CMOS
Av could be greater than 1 when C MOS is larger than zero which means that small
change of V G will induce a large change in V MOS , and hence, the swing of NCFET
can be smaller than 60 mV/dec. The ferroelectric capacitance and MOS capacitance
should be comparable to achieve larger voltage gain but to avoid hysteresis, Av should
not be infinite and therefore C mos cannot be equal or greater than C FE . This is the
key concept in designing a stable NCFET. The subthreshold swing of NCFET can
be obtained as:
60 mV ( )
NCFET SS = MOSFET SS ∗ A−1
V = ∗ 1 + Cdep /Cox ∗ A−1
V (8.11)
dec
By substituting Av from Eq. (8.10):
( )
60 mV Cdep
NCFET SS = MOSFET SS ∗ A−1 = ∗ 1 + Cdep /Cox − , (8.12)
V
dec |CFE |
60 mV/dec. To avoid hysteresis, C FE must be larger than C MOS . This means that
subthreshold cannot be negative. Therefore, following equivalent condition should
be considered:
[ ]
Cdep
Cdep /Cox − > −1 (8.13)
|CFE |
In negative capacitance FET, hysteresis behavior is one of the critical issues in ferro-
electric material which is not preferred for logic applications; therefore, NCFET
operates in non-hysteresis regime. When the gate capacitances and ferroelectric
are matched, non-hysteretic negative capacitance operation is achieved over the
entire range of the gate voltage. It has been proved theoretically that ferroelectric
capacitance |CFE | is proportional to Pr /(E c .t FE ) where t FE is the ferroelectric thick-
ness. When |CFE | decreases due to gate voltage V gs , then there is a transition from
|CFE | ≥ CMOS to |CFE | < CMOS that results in the appearance of hysteresis. It can be
concluded that hysteretic can be increased/decreased by Pr /E c or thickness of ferro-
electric. Hence, it experimentally demonstrated by Zhou et al. that hysteresis can be
eliminated by increasing the remnant polarization (Pr ) to coercive polarization (E c )
ratio with integration of HfZrOx (HZO) ferroelectric material (Lu et al. 2012; Zhou
et al. 2018).
Ferroelectric–Dielectric System
applied field. On the other hand, a dielectric material responds linearly to an electric
field and it usually have a high dielectric constant. Dielectric materials are used to
increase the overall capacitance of the system enabling efficient charge storage and
higher energy density.
By combining ferroelectric and dielectric materials, ferroelectric–dielectric
systems can exhibit unique properties. For instance, the high dielectric constant
of the dielectric material enhances the charge storage capacity of the ferroelectric
material that results in increased energy storage capabilities. Moreover, the ferro-
electric component introduces non-linear behavior and memory effects allowing for
novel applications such as non-volatile memory devices, tunable capacitors, and
energy harvesting systems. The design and optimization of ferroelectric–dielectric
systems involve careful selection of the constituent materials, its composition, and
the interface between them. The compatibility of lattice structures, thermal expansion
coefficients, and polarization alignment are crucial factors to ensure the proper func-
tioning of the system. Additionally, engineering techniques such as interface engi-
neering, strain engineering, and doping can be employed to tailor the properties and
enhance the performance of ferroelectric–dielectric systems. Ferroelectric–dielectric
systems hold a great promise for various applications including electronics, energy
storage, sensors, and actuators. Ongoing research in this field focuses on improving
the stability, reliability, and efficiency of these systems and will help to explore new
materials, combinations, and device architectures to unlock their full potential.
Modeling of NC-FinFET
NC-FETs are the emerging devices to achieve higher ION and steep subthreshold.
In this section, compact model of NC-FinFET device is analyzed. Landau–Khalat-
nikov (L–K) equation is used to analyze the compact model of FE materials. The
relationship between electric field and polarization in FE material can be expressed
as:
E = 2αP + 4βP 3 + 6γ P 5 ,
∫VDS
iDS = qm dvch
0
216 S. K. Swain et al.
Efe = αP + βP 3
∂Efe
=0
∂P
=> α + 3βPC2 = 0,
αP0 + βP03 = 0
8 Negative Capacitance Field-Effect Transistor (NCFET): Strong Beyond … 217
By solving Eq. (8.1) and (8.2), α and β can be obtained as a function of E c and
P0 :
√
3 3
α=− (EC /P0 )
2
√ ( )
3 3 EC /P03
β=−
2
The voltage across ferroelectric layer depends on total ferroelectric layer charge. The
total charge with FE layer can be expressed as:
where C gsf and C gdf are the parasitic gate to source and gate to drain capacitance,
respectively. Figure 8.7 represents the BSIM-CMG compatible NC-FinFET models.
It includes subthreshold swing, V T based on the internal charge Qint , and drain
coupling as well as parasitic capacitance.
218 S. K. Swain et al.
Fig. 8.7 Framework of BSIM-CMG compatible NC-FinFET model (You et al. 2018)
Negative DIBL
In deep submicron process, the channel length is extremely small. When drain voltage
V D increases, the gate voltage reduces, and hence, the threshold voltage reduces.
The mechanism is called as DIBL effect. From the hysteresis behavior, subthreshold
swing and DIBL are extracted in forward sweep. The subthreshold swing is defined
as minimum inverse slope near the threshold voltage. From the fundamental theory
of semiconductor physics, subthreshold swing can be expressed as:
( )
dVGS dVGS dØS CS KB T
SS = = = 1+ × ln 10,
d log10 ID dØS d log10 ID CG q
Vth,high − Vth,low
DIBL = ,
VD,high − VD,low
where V th is the threshold voltage, V D is the drain voltage. The fundamental theory
of threshold voltage V Th can be written as:
QG
Vth = Øms + 2Øbi + ,
CG
8 Negative Capacitance Field-Effect Transistor (NCFET): Strong Beyond … 219
where Øms is the work function difference between semiconductor and metal gate,
Øbi is the built-in potential. In ferroelectric FETs, Øms and Øbi are not affected by
ferroelectric layer. Hence, V th depends on QG and C G . If the value of C G is very
large, DIBL becomes 0 mV/V and subthreshold swing becomes 60 mV/decade. For
subthreshold swing lesser than 60 mV/dec, the DIBL moves toward the negative
value. The negative DIBL effect is opposite from DIBL effect in conventional FETs
(Yu et al. 2021; Huang et al. 2021).
Ferroelectric material can be defined as the dielectric materials that maintain polar-
ization even in the absence of electric field. Direction of the dipole moment can
be changed by applying electric field. Ferroelectric material polarization depends
on temperature. All materials that are ferroelectric are also pyroelectric. Among 32
crystals, only 20 have direct piezoelectricity which produce polarization by mechan-
ical stress and only 10 crystal structures have the properties of spontaneous electric
polarization. The change in temperature with polarization is known as pyroelectricity.
Some pyroelectric materials are ferroelectric materials whose switching dipole can be
reversed by external electric field (Kim et al. 2018). As a result, ferroelectric mate-
rials have many interesting properties that rely on the electric field, temperature,
strain, and other factors (Fig. 8.8).
Materials with non-centrosymmetric crystalline structures have the ferroelec-
tricity properties and these materials can be put into different groups based on how
ferroelectricity works in them. The basic properties of ferroelectric materials can be
listed as:
1. It is capable of spontaneous polarization.
2. Ferroelectric materials have pre-existing or fixed or natural dipoles.
3. At zero electric field, there is no net polarization because the areas or domains
made up of the individual dipoles are not randomly oriented.
4. When an external electric field is introduced, the dipoles in the domains are
aligned with the direction of the electric field.
Many ferroelectric materials such as PbTiO, BaTiO, Pb(ZrTi)O, HfZrO, etc.,
exhibit negative capacitance. In NCFET technology, the L–K equation is the most
effective tool to understand the ferroelectric switching properties. The equation is
Fig. 8.8 Timeline of ferroelectric materials and ferroelectric semiconductor devices (Mikolajick
et al. 2021)
8 Negative Capacitance Field-Effect Transistor (NCFET): Strong Beyond … 221
Table 8.1 Landau coefficient for ferroelectric materials (Rahi et al. 2021)
Materials α Value (m/F) β Value (m5/F/Coul2) γ (m9/F/Coul4)
BaTiO3 − 1.0 × 107 − 8.9 × 109 4.5 × 1011
HfZrO − 7.0 × 108 1.0 × 1012 0.0
SBT − 1.3 × 108 1.3 × 1010 0.0
P(VDF-TrFE) − 1.98 × 109 − 3.75 × 1011 3.16 × 1013
HZO − 7 × 108 1.0 × 1012 0.0
Y-HfO2 − 1.23 × 108 3.28 × 1010 0.0
Pb(Nb0.04Zr0.28Ti0.68)O3 − 5.37 × 107 − 3.0 × 107 2.47 × 108
used to explain how the polarization P and voltage across FE material is related. The
voltage across the FE capacitor, i.e., V FE can be expressed as:
( )
VFE = αQFE + βQFE
3
+ γ QFE
5
,
where α, β, and γ are the material of Landau coefficient, QFE is the polarization
charge. So based on the Landau coefficient (α, β, and γ ) for ferroelectric materials,
the thickness plays a major role for explaining the polarization and voltage across
the FE material as shown in Table 8.1.
Switching Delay
The switching delay in NCFETs refers to the time it takes for the transistor to transit
between different states or switch from one logic level to another. This delay is
primarily influenced by the capacitance and resistance components in the transistor’s
structure.
In an NCFET, the switching delay consists of two main components: (i) Gate
delay (ii) drain/source delay. The gate delay is the time it takes for the gate voltage to
reach the threshold voltage causing the transistor to start conducting. It is influenced
by the gate capacitance and the resistance of the gate terminal. The gate capacitance
is primarily determined by the physical dimensions and the dielectric material of
the transistor’s gate oxide. The drain/source delay refers to the time it takes for the
drain or source terminal to respond to changes in the gate voltage and transition from
one logic level to another. It is influenced by the drain/source capacitance and the
resistance of the drain/source terminals. The drain/source capacitance is determined
by the physical dimensions and the doping levels of the drain/source regions.
The switching delay in NCFETs can be influenced by various factors including the
device dimensions, biasing conditions, supply voltages and load conditions. Manu-
facturers and circuit designers employ various techniques to minimize the switching
delay in NCFET-based circuits such as optimizing device structures, employing
advanced fabrication processes, and using specialized circuit design methodologies.
222 S. K. Swain et al.
It’s worth noting that the specific values and characteristics of switching delays
in NCFETs can vary depending on the transistor’s design, process technology, and
operating conditions. Therefore, it is important to consult the transistor datasheets
or device models provided by the manufacturer for more precise information on the
switching delay characteristics of a particular NCFET.
Fig. 8.9 General overview of design methodology from physical to system level to investigate
NCFET (Rapp et al. 2019)
is necessary to maintain the desired negative capacitance effect. Circuit design tech-
niques such as logic gate optimization and power management strategies need to
be developed specifically for NCFET-based architectures. Research efforts in low
power logic NCFETs are ongoing aiming to demonstrate their practical viability and
scalability. By leveraging the advantages of negative capacitance, NCFETs have the
potential to revolutionize low-power electronics enabling energy-efficient computing
systems, IoT devices, and other applications that prioritize power savings.
For stored logic “0” and “1,” the information is available inside the FE layer
dipole. When an electric field is applied, the direction of the dipole switches from
bottom to top or top to bottom. The sensing circuit can differentiate between logic
“0” and “1” based on the dipole direction. NCFET-based memory cells have the
potential for non-volatile retention capability due to FE polarization, high current
ratio, and low power operation (Takasu 2001). Non-volatile storage can be useful for
designing non-volatile processors to store system data in the case of power failure
(Fig. 8.10).
The objective of designing a two-transistor NCFET memory is to achieve stable
and non-volatile memory states with disturbance-free read operations. It minimizes
the area requirements by integrating the read and write paths. The write path incor-
porates a standard MOSFET that is controlled by the write select line. On the other
hand, the read path consists of an NCFET with the read select line connected to the
drain and the sense line tied to the source.
NCFET-Based DFF
A negative capacitance field-effect transistor (NCFET)-based D flip-flop (DFF) lever-
ages the unique properties of negative capacitance to enhance its operation. The
operation of a D flip-flop (DFF) based on negative capacitance field-effect transistor
(NCFET) technology is similar to a conventional DFF, but with the advantage of
improved performance due to the negative capacitance effect.
A D flip-flop is a sequential logic circuit that stores a single bit of data and can be
triggered to change its output based on a clock signal. The DFF consists of two cross-
coupled NCFET-based latch circuits, typically implemented using complementary
NCFETs (both n-type and p-type NCFETs). Here’s a step-by-step explanation of the
operation:
I. Latch Initialization: Initially, the DFF is in an unknown or reset state. The
outputs (Q and Q) are in an indeterminate state.
II. Data Input (D): The desired data to be stored is applied to the D input of the
DFF.
8 Negative Capacitance Field-Effect Transistor (NCFET): Strong Beyond … 225
III. Clock Signal (CLK): The clock signal is applied to the clock input of the DFF.
The clock signal determines when the DFF should capture the input data.
IV. Data Capture: When the rising edge (or falling edge, depending on the specific
implementation) of the clock signal occurs, the input data (D) is captured and
stored within the DFF.
V. Output Stability: Once the data is captured, the output (Q and Q) remains stable
until the next clock edge.
VI. Feedback Loop: The cross-coupled NCFETs in the latch circuit creates a feed-
back loop allowing the stored value to be maintained until a subsequent clock
edge triggers a change.
The primary advantage of utilizing NCFETs in the DFF is the negative capac-
itance effect which can enhance the performance by reducing power consumption
and improving the subthreshold slope (Li et al. 2017). The negative capacitance
effect counteracts the inherent positive capacitance of the NCFET resulting in an
effective negative capacitance value (Moaiyeri et al. 2021). This effect enables the
DFF to operate with steeper subthreshold slopes reducing energy consumption with
improved overall performance.
It’s important to note that the specific circuit design and implementation details of
an NCFET-based D flip-flop can vary depending on the research or design approach.
NC-FET-Based Processor
A negative capacitance field-effect transistor (NCFET)-based processor refers to a
central processing unit (CPU) architecture that utilizes NCFETs as the fundamental
building blocks for its transistors. The integration of NCFETs in a processor aims
to leverage the unique characteristics of negative capacitance to enhance the perfor-
mance and energy efficiency of the CPU. Furthermore, the use of NCFETs can also
potentially enable higher operating frequencies as the negative capacitance effect can
counteract the inherent capacitive delays in the transistor enabling faster switching
speeds (Rapp et al. 2019; Amrouch et al. 2018).
However, it’s important to note that research into NCFET-based processors is
still ongoing, and several challenges need to be addressed for their practical imple-
mentation. These challenges include optimizing device architectures, understanding
stability and reliability issues of negative capacitance materials, and integrating
NCFETs into existing fabrication processes. Researchers and scientists are actively
investigating NCFET-based processor designs to explore the potential benefits of
negative capacitance on CPU performance and energy efficiency. Ongoing research
in this field aims to develop practical implementations and overcome the remaining
hurdles to realize high-performance processors based on NCFET technology.
226 S. K. Swain et al.
Logic-in-Memory (LiM) reduces the amount of data transfers between the system
memory and the computational core. The idea was first outlined in 1970 but is now
gaining more attention because non-volatile memories can be integrated better with
CMOS transistors as compared to older memory technologies. LiM relies on various
applications like cryptographic algorithm implementation, security applications,
phase change memories, and so on.
Depending on the computation, LiM can be divided into different groups such as
memory processing, memory computing, coarse-grain LiM, and fine-grain LiM. In
coarse-grain LiM, non-volatile memory is placed nearer to the processor to be used
with same latency. In fine-grain LiM, non-volatile memory is integrated with the logic
design and used within the computing process. Based on emerging technologies, LiM
structures integrate non-volatile storage elements with their logic itself. There are
two categories of LiMs: ternary content addressable memories (TCAM) and basic
logic function units. TCAMs carry out parallel searches for specific pieces of data
against a table of stored data and report whether a match occurs or not. In basic logic
functions, both non-volatile storage elements and variable resistors are employed.
They can perform basic logic tasks like NAND, NOR, etc.
Logic-in-Memory (LiM) refers to a computing paradigm where memory elements
are combined with logic operations enabling the computations directly within the
memory units. LiM can offer advantages such as reduced data movement, improved
energy efficiency, and increased system performance. In the context of negative
capacitance field-effect transistors (NCFETs), LiM refers to the integration of logic
functionality within NCFET-based memory cells.
By combining memory and logic operations in a single NCFET device, LiM
enables data processing and storage to occur simultaneously that minimizes the need
for data transfer between separate memory and logic units. This reduced latency
and energy consumption is associated with data movement across different parts of
a computing system. Research in NCFET-based LiM focuses on developing circuit
architectures and design methodologies that enables efficient integration of logic
and memory functions within NCFET devices. This includes exploring the use of
NCFETs as both memory storage elements and computational elements and devel-
oping suitable control and addressing schemes. NCFET-based logics in memory
architectures have the potential to enable tasks such as data search, pattern recog-
nition, and data processing directly within the memory bypassing the need for data
transfer to a separate processing unit. This can significantly reduce the data move-
ment bottleneck and enhance overall system performance in applications such as
databases, machine learning, and artificial intelligence.
Security Applications: NCFETs also offer potential advantages in security appli-
cations due to their unique characteristics such as hardware security and physically
unclonable functions (PUF). In hardware security, NCFETs can be leveraged to
enhance hardware security by providing improved resistance against side-channel
8 Negative Capacitance Field-Effect Transistor (NCFET): Strong Beyond … 227
Negative capacitance in organic materials refers to the phenomenon where the effec-
tive capacitance of the material becomes negative under specific conditions. Organic
materials such as certain polymers and organic semiconductors have attracted signif-
icant interest due to their unique electronic properties with potential applications in
flexible electronics and organic electronic devices (Jo et al. 2015). In the context
of negative capacitance, organic materials offer an intriguing opportunity to achieve
enhanced device performance with energy efficiency. By incorporating ferroelectric
or ferroelectric-like properties into organic materials, it is possible to induce nega-
tive differential capacitance where the capacitance decreases with increase in voltage.
This negative capacitance effect can be utilized to overcome the power limitations in
organic electronic devices by effectively amplifying the voltages across the devices
resulting in reduced power consumption and improved performance.
Lee et al. (2018) investigated the negative capacitance effect in organic ferroelec-
tric polymers. They demonstrated that by incorporating a ferroelectric polymer layer
such as poly (vinylidene fluoride-co-trifluoroethylene) (PVDF-TrFE) into the gate
stack of an organic field-effect transistor (OFET), negative capacitance was observed.
The negative capacitance in the ferroelectric polymer layer compensated for the posi-
tive capacitance of the gate dielectric resulting in an effective negative capacitance
that improved the switching characteristics of the OFET. Transistor channel was
made up of MoS2 which is a 2D material with high electron mobility that can control
the gate voltage due to the thickness of several atomic layers.
According to the capacitance series model, top gate NCFET can be considered
as a series combination of ferroelectric capacitance and interface capacitance as
shown in Fig. 8.11. The interface capacitance mainly comes in between metal contact
and P(VDF-trFE). During the fabrication of the organic ferroelectric capacitor, a
copolymer of polyvinylidene fluoride (PVDF) and trifluoroethylene (TrFE) with a
75/25 ratio was used that exhibited outstanding polarization characteristics. The
extracted parameters for the organic ferroelectric material P(VDF0.75 TrFE0.25 ) are as
follows:
228 S. K. Swain et al.
Fig. 8.11 Schematic diagram of top gate NCFET b. Equivalent capacitance model of top gate
NCFET
For the dielectric capacitor, the static terms α, β, and γ are positive. Hence, the
capacitance cannot be negative. However, the coefficient α is inversely proportional
to the permittivity, i.e., ε ∝ 1/α0 (T − TC ), where 1/α 0 is the curie Weiss constant.
The realization of negative capacitance in organic materials requires careful mate-
rial design and understanding of the underlying physical mechanisms with suitable
optimization of device structures to ensure stable and reliable operation. Ongoing
research in this field aims to explore and exploit the potential of negative capacitance
in organic materials for various applications including organic transistors, memories,
and energy harvesting devices.
Ferroelectric FET
FeFETs offer advantages such as high endurance, low power consumption, and
fast switching speeds. They can achieve high on/off current ratios that contributes
to robust memory characteristics. Additionally, FeFETs have the potential for multi-
bit storage enabling higher data density in memory applications. Moreover, FeFETs
show promise in neuromorphic computing as the polarization switching behavior
resembles the synaptic behavior in biological systems. This makes FeFETs attrac-
tive for implementing artificial neural networks and accelerating machine learning
algorithms. However, material selection, device fabrication, and stability of the polar-
ization switching remain a challenge. Researchers are exploring various ferroelec-
tric materials such as hafnium oxide, lead zirconate titanate, and bismuth ferrite to
optimize FeFET performance.
In summary, FeFETs utilizes the polarization switching of ferroelectric mate-
rials to enable non-volatile memory and show potential for neuromorphic computing
applications. With ongoing research and development, FeFETs may offer advanced
memory technologies and contribute to the advancement of next-generation
computing systems.
Conclusion
References
Amrouch H, Pahwa G, Gaidhane AD, Henkel J, Chauhan YS (2018) Negative capacitance transistor
to address the fundamental limitations in technology scaling: processor performance. IEEE
Access 6:52754–52765. https://doi.org/10.1109/ACCESS.2018.2870916
Bheemana RC, Japa A, Yellampalli SS, Vaddi R (2022) Negative capacitance FETs for energy
efficient and hardware secure logic designs. Microelectronics J 119(November):105320. https://
doi.org/10.1016/j.mejo.2021.105320
Bhushan B, Nayak K, Rao VR (2012) DC compact model for SOI tunnel field-effect transistors.
IEEE Trans Electron Devices 59(10):2635–2642. https://doi.org/10.1109/TED.2012.2209180
Dasgupta A et al (2020) BSIM compact model of quantum confinement in advanced nanosheet FETs.
IEEE Trans Electron Devices 67(2):730–737. https://doi.org/10.1109/TED.2019.2960269
Duarte JP et al (2017) Compact models of negative-capacitance FinFETs: Lumped and distributed
charge models. In: Technical Digital International Electron Devices Meeting IEDM, pp 30.5.1–
30.5.4. https://doi.org/10.1109/IEDM.2016.7838514
Gupta S, Steiner M, Aziz A, Narayanan V, Datta S, Gupta SK (2017) Device-circuit analysis of
ferroelectric FETs for low-power logic. IEEE Trans Electron Devices 64(8):3092–3100. https://
doi.org/10.1109/TED.2017.2717929
Huang W et al (2021) Investigation of negative DIBL effect for ferroelectric-based FETs to improve
MOSFETs and CMOS circuits. Microelectronics J 114(May). https://doi.org/10.1016/j.mejo.
2021.105110
Jo J, Choi WY, Park JD, Shim JW, Yu HY, Shin C (2015) Negative capacitance in organic/
ferroelectric capacitor to implement steep switching MOS devices. Nano Lett 15(7):4553–4556.
https://doi.org/10.1021/acs.nanolett.5b01130
Khan AI et al (2015) Negative capacitance in a ferroelectric capacitor. Nat Mater 14(2):182–186.
https://doi.org/10.1038/nmat4148
Kim HW, Kwon D (2021) Gate-normal negative capacitance tunnel field-effect transistor (TFET)
with channel doping engineering. IEEE Trans Nanotechnol 20:278–281. https://doi.org/10.1109/
TNANO.2021.3068572
8 Negative Capacitance Field-Effect Transistor (NCFET): Strong Beyond … 231
Kim TY, Kim SK, Kim SW (2018) Application of ferroelectric materials for improving output power
of energy harvesters. Nano Converg. 5(1):1–16. https://doi.org/10.1186/s40580-018-0163-0
Lee K, Kim S, Lee JH, Kwon D, Park BG (2020) Analysis on reverse drain-induced barrier lowering
and negative differential resistance of ferroelectric-gate field-effect transistor memory. IEEE
Electron Device Lett 41(8):1197–1200. https://doi.org/10.1109/LED.2020.3000766
Lee et al (2018) Extremely steep switch of negative-capacitance nanosheet GAA-FETs and FinFETs.
In: IEEE International Electron Devices Meeting (IEDM) pp 31–8.
Li X et al (2017) Enabling energy-efficient nonvolatile computing with negative capacitance FET.
IEEE Trans Electron Devices 64(8):3452–3458. https://doi.org/10.1109/TED.2017.2716338
Lu Y et al (2012) Performance of AlGaSb/InAs TFETs with gate electric field and tunneling direction
aligned. IEEE Electron Device Lett 33(5):655–657. https://doi.org/10.1109/LED.2012.2186554
Lue HT, Wu CJ, Tseng TY (2002) Device modeling of ferroelectric memory field-effect tran-
sistor (FeMFET). IEEE Trans Electron Devices 49(10):1790–1798. https://doi.org/10.1109/
TED.2002.803626
Mikolajick T et al (2021) Next generation ferroelectric materials for semiconductor process
integration and their applications. J Appl Phys 129(10). https://doi.org/10.1063/5.0037617
Moaiyeri MH, Jooq MKQ, Al-Shidaifat A, Song H (2021) Breaking the limits in ternary logic:
an ultra-efficient auto-backup/restore nonvolatile ternary flip-flop using negative capacitance
CNTFET technology. IEEE Access 9:132641–132651. https://doi.org/10.1109/ACCESS.2021.
3114408
Nandan K et al (2020) Compact modeling of multi-layered MoS2FETs including negative capac-
itance effect. IEEE J Electron Devices Soc 8(September):1177–1183. https://doi.org/10.1109/
JEDS.2020.3021031
Pahwa G, Dutta T, Agarwal A, Chauhan YS (2018) Physical insights on negative capacitance
transistors in nonhysteresis and hysteresis regimes: MFMIS versus MFIS structures. IEEE Trans
Electron Devices 65(3):867–873. https://doi.org/10.1109/TED.2018.2794499
Rahi SB, Tayal S, Upadhyay AK (2021) A review on emerging negative capacitance field effect
transistor for low power electronics. Microelectronics J 116(August):105242. https://doi.org/
10.1016/j.mejo.2021.105242
Rapp M, Salamin S, Amrouch H, Pahwa G, Chauhan Y (2019) Performance, power and cooling
trade-offs with NCFET-based many-cores, pp 10–15
Takasu H (2001) Ferroelectric memories and their applications. Microelectron Eng 59(1–4):237–
246. https://doi.org/10.1016/S0167-9317(01)00630-X
You WX, Su P, Hu C (2019) Evaluation of NC-FinFET based subsystem-level logic circuits using
SPICE simulation. In: 2018 IEEE SOI-3D-subthreshold microelectronic technology unified
conference S3S 2018, no 6, pp 1–2. https://doi.org/10.1109/S3S.2018.8640175
Yu T, Lü W, Zhao Z, Si P, Zhang K (2021) Negative drain-induced barrier lowering and
negative differential resistance effects in negative-capacitance transistors. Microelectronics J
108(January). https://doi.org/10.1016/j.mejo.2020.104981
Yuan ZC, Gudem PS, Aggarwal A, Vanessen C, Kienle D, Vaidyanathan M (2021) Feedback
stabilization of a negative-capacitance ferroelectric and its application to improve the fTof a
MOSFET. IEEE Trans Electron Devices 68(10):5101–5107. https://doi.org/10.1109/TED.2021.
3108125
Zhou J et al (2018) Effects of the variation of VGS sweep range on the performance of negative capac-
itance FETs. IEEE Electron Device Lett 39(4):618–621. https://doi.org/10.1109/LED.2018.281
0075
Chapter 9
Nanoelectromechanical Switches: As
a Steep Switching Device
Introduction
The first automatic switching system was developed in the early twentieth century
to address the problem faced by a telephone operator to connect the tele-
phone lines manually (http://telephonetribute.com/switches_survey_intro_chapter_
1.html). Mechanical switches were small, convenient, and efficient. Since the tran-
sistors were invented, silicon-based switching devices attracted dramatic attention
because they have increased speed. However, they suffered physical constraints
N. P. Ratchagar
Department of Electronics and Communication Engineering, Presidency University, Bangalore,
India
A. Kumar (B)
Department of Electrical Engineering, National Institute of Technology, Patna, India
e-mail: [email protected]
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 233
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_9
234 N. P. Ratchagar and A. Kumar
such as short channel effects (Baker 1998; Davari et al. 1995). Petersen, in 1978,
developed the first micro-sized mechanical switch with an electrostatically actuated
cantilever (Petersen 1978). Since then, microelectromechanical system (MEMS)/
nanoelectromechanical system (NEMS) switches are considered one of the ideal
switching devices as they have the advantages of both the mechanical and silicon-
based switch. The MEMS/NEMS switches possess excellent features of low-power
consumption, high ON-current, and near-ideal switching characteristics. Numerous
applications like logic operations, memory devices, and power switching has been
reported.
MEMS/NEMS switches are widely used because of its strengths including good
isolation, low-power consumption, high linearity, and utility in wide bandwidth
(Rebeiz 2003). These switches can be classified into different categories depending
on the actuation mechanism, movement of the suspended structure, type of movable
part, and number of electrodes as given in Table 9.1 (Song and Yoon 2016).
Nano-relay Principles
Electrostatic Actuation
NEMS relays using electrostatic actuation are simple in comparison with the other
actuation techniques and are extensively used due to its extremely low-power
consumption and relatively short switching speed (Senturia 2001). These switches
are based on two conductive electrodes, one fixed and other movable, like a parallel-
plate capacitor. When a potential is applied across the electrodes, the electrostatic
force attracts the movable electrode toward the fixed electrode.
In the first condition, the terminals A and B are disconnected and hence the relay
is in OFF-state. In the second condition, a bias voltage greater than the pull-in voltage
is applied between the fixed electrode (E1) and movable electrode (E2) creating a
contact between terminals A and B turning the relay ON.
Piezoelectric Actuation
Fig. 9.1 Schematic of NEMS switch with three electrodes–source, gate, and drain
236 N. P. Ratchagar and A. Kumar
Electromagnetic Actuation
Thermoelectric Actuation
on the beam causing a thermal expansion. This expansion makes the beam to bend
downward creating a contact between terminals A and B turning the relay ON.
NEMS are basically systems that has integrated mechanical nanostructures and
electronics. The fabrication of these systems uses the basic processing techniques
used in CMOS manufacturing. The processes fall under three categories (i) Bulk
micromachining, (ii) Surface micromachining, and (iii) High aspect ratio silicon
micromachining.
Bulk micromachining involves removal of a significant portion of the substrate
material (Kovacs et al. 1998). Surface micromachining involves addition of thin
layers of structural or sacrificial materials and patterning them (Bustillo et al. 1998).
High aspect ratio micromachining combines the deep dry etching with surface micro-
machining to realize high aspect ratio structures (Ayazi and Najafi 1999). The major
steps involve three processes: Deposition, Lithography, and Etching.
Deposition
Materials can be deposited using various techniques like oxidation, physical vapor
deposition, chemical vapor deposition, electroplating, and sol–gel deposition. Phys-
ical vapor deposition includes evaporation and sputtering. Evaporation technique is
used to deposit metals on a surface from a target by heating under high vacuum.
Sputtering is done by knocking off atoms from a target with an inert gas plasma.
In chemical vapor deposition, the material is deposited on the substrate through a
chemical reaction that takes place when a precursor material is sent into a furnace.
In sol–gel deposition, a colloidal suspension of solid particles is first dispersed on
the substrate and then the solvent is removed.
Etching
Various etching techniques for achieving isotropic or anisotropic profiles are devel-
oped using either wet or dry etching. The most common wet etchant for silicon is
HNA (combination of hydrofluoric acid, nitric acid, and acetic acid) resulting in an
isotropic profile. For anisotropic profile using wet etchants, potassium hydroxide
(KOH), tetramethylammonium hydroxide (TMAH), and ethylenediamine pyrocate-
chol (EDP) are used. For other materials like silicon dioxide hydrofluoric acid (HF)
is used; for silicon nitride, phosphoric acid (H3 PO4 ) is used; for gold, potassium
chloride (KCl) is used; and for organics acetone (C3 H6 O) is used. For dry etching,
238 N. P. Ratchagar and A. Kumar
plasma and chemical vapors are used. Xenon difluoride (XeF2 ) is used for silicon, a
combination of trifluoro methane (CHF3 ) and oxygen (O2 ) for silicon dioxide, sulfur
hexafluoride (SF6 ) for silicon nitride and O2 plasma for organics.
Lithography
In order to design and fabricate MEMS and NEMS devices effectively, recent
advancements in devices, drivers/controllers, and ICs as well as fabrication tech-
nologies provide sufficient benefits and capabilities. The most critical issue is to
design high-performance systems satisfying specific criteria and requirements like
functionality, compatibility, power and thermal management, etc. (Lyshevski 2000).
Lyshevski S. E. devised a step-by-step procedure to design microdevices which are
as follows (Lyshevski 2002):
1. Define application and environmental requirements
2. Specify performance specifications
3. Devise micro-/nano-devices, driving/sensing circuitry and controlling/
processing ICs
4. Develop fabrication process using micromachining techniques compatible with
CMOS technology
5. Perform electromagnetic, energy conversion, mechanical, thermal, vibroa-
coustic, and sizing/dimension estimates
6. Perform heterogeneous electromagnetic, mechanical, thermal, vibroacoustic
design with performance analysis, and outcome prediction
7. Verify, modify, and refine design with ultimate goals and objectives to optimize
the performance.
9 Nanoelectromechanical Switches: As a Steep Switching Device 239
Modeling a device or a structure happens at many levels. Four modeling levels, (i)
System, (ii) Device, (iii) Physical, and (iv) Process, are identified (Senturia 2001).
System level deals with block diagram representations and lumped-element circuit
models. At the process level, the process sequence and photomask designs for device
fabrication is created. The behavior of the real devices in the 3D continuum is
addressed in the physical level, while device level captures the physical behavior
of a component of the system.
A NEMS switch can be modeled as a simple lumped spring-mass system as in
Fig. 9.2 (Rottenberg et al. 2012). The movable beam structure is modeled by a rigid
plate with mass m supported by a linear spring of stiffness constant k. It is actuated by
a stationary parallel-plate capacitor of area S e separated by a gap d 0 . An additional
parasitic nano-force of attraction F a is assumed. The forces are exerted across the
actual gap d to the mobile plate through an actuator of area S a .
5. Electromechanical Coupling:
• Feedback Mechanisms: Consider the feedback loop between the mechanical
and electrical domains to model how the mechanical motion influences the
electrical properties and vice versa.
• Voltage-Displacement Relationship: Establish the relationship between the
applied voltage and the displacement of the relay components.
6. Pull-In and Release Analysis:
• Pull-In Voltage: Determine the voltage at which the relay transitions from
the open to the closed state, known as pull-in voltage.
• Release Characteristics: Study the release characteristics of the relay to
understand its stability and reliability in returning to the open state.
7. Noise and Stochastic Effects:
• Thermal Noise: Account for thermal fluctuations and noise effects on the
relay’s performance, particularly at the nanoscale where these factors can be
significant.
8. Validation and Calibration:
• Experimental Validation: Validate the model by comparing its predictions
with experimental data obtained from physical prototypes.
• Parameter Calibration: Adjust model parameters based on experimental
results to improve the accuracy of the simulation.
Electrostatic nano-relay modeling is a complex interdisciplinary task, requiring
expertise in electromagnetics, mechanics, and control systems. Advanced simulation
tools and numerical methods are often employed to perform dynamic analyses and
optimize the design of these nanoscale devices.
Electrical Modeling
In contrast to CMOS, a digital circuit based on NEM relays necessitates the design
of a large, intricate logic gate where only one mechanical delay occurs at each stage.
However, this design approach results in a substantial rise in the overall on-state
resistance, consequently causing an increase in electrical delay time (Rana et al.
2014).
242 N. P. Ratchagar and A. Kumar
Examining the on-state electrical characteristics of NEM relays reveals the on-
state resistance, illustrated in the figure above.
Dynamic Modeling
Quasi-static Modeling
Low-Voltage Operation
ensure reliable and stable contact performance. Minimizing wear, corrosion, and
adhesion between contact surfaces is essential.
3. Stiction and Adhesion: At the nanoscale, stiction and adhesion between
contacting surfaces become significant factors. These phenomena can lead
to unwanted sticking of the contacts, affecting their reliability and causing
operational issues.
4. Contact Resistance: Maintaining low and stable contact resistance is essential
for efficient signal transmission. The reliability of contact technology is compro-
mised if contact resistance fluctuates or increases over time due to factors like
oxidation or contamination.
5. Environmental Sensitivity: NEMS devices may be deployed in various envi-
ronments, and the reliability of contact technology should be robust against
environmental factors such as humidity, temperature variations, and chemical
exposure.
6. Switching Speed and Endurance: NEMS devices often involve rapid switching
or actuation. Ensuring that contact technology can sustain high switching speeds
and endure a large number of cycles without failure is crucial for long-term
reliability.
7. Cleaning and Maintenance: Developing methods for cleaning and maintaining
contacts in NEMS devices is important. This includes addressing issues such as
removing contaminants or restoring proper functionality in case of performance
degradation (Kaynak et al. 2023).
NEMS-Based Architectures
Conventional Architectures
Here are some potential conventional architectures and applications where NEMS
can play a significant role:
1. NEMS Computing:
• Memory Devices: NEMS-based memory devices can offer high-density
storage with low-power consumption. Examples include NEMS-based non-
volatile memory or NEMS-based resistive switching devices.
9 Nanoelectromechanical Switches: As a Steep Switching Device 247
• Logic Gates: NEMS devices can be used as building blocks for logic gates,
enabling the development of NEMS-based logic circuits with potentially lower
power consumption compared to traditional electronic circuits.
2. NEMS Sensing and Actuation:
• Sensors and Actuators: NEMS devices can be employed as sensitive sensors
for detecting various physical quantities such as pressure, mass, and acceler-
ation. They can also act as actuators for precise mechanical movements.
• Inertial Sensors: NEMS-based accelerometers and gyroscopes can be used
in applications like navigation systems, robotics, and inertial measurement
units.
3. Communication Systems:
• Radio Frequency (RF) Devices: NEMS resonators and switches can be utilized
in RF applications, offering potential advantages in terms of size, power
consumption, and frequency stability.
• NEMS-Based Communication Networks: NEMS devices could enable novel
communication architectures, potentially leading to more efficient and
compact communication systems.
4. Energy Harvesting:
• NEMS-Based Energy Harvesters: NEMS devices can convert mechanical
vibrations into electrical energy. This capability can be harnessed for energy
harvesting in applications where small-scale, sustainable power sources are
required.
5. Biomedical Applications:
• NEMS-Based Biosensors: NEMS devices can be used for highly sensitive
biosensing, enabling the detection of biomolecules with high precision. This
has applications in medical diagnostics and healthcare.
• Drug Delivery Systems: NEMS-based devices can be engineered for
controlled drug delivery, offering precise release mechanisms at the nanoscale.
6. NEMS Integration with CMOS:
• Hybrid Integration: Combining NEMS devices with traditional Complemen-
tary Metal–Oxide–Semiconductor (CMOS) technology can lead to hybrid
architectures, benefiting from the strengths of both technologies.
7. Quantum Computing:
• NEMS-Based Qubits: NEMS devices could play a role in the development
of quantum computing by providing stable and controllable qubits at the
nanoscale.
The successful implementation of NEMS-based architectures relies on over-
coming fabrication challenges, improving reliability, and addressing issues related to
248 N. P. Ratchagar and A. Kumar
scalability. Ongoing research in this field aims to unlock the full potential of NEMS
technology in various applications.
Adiabatic Architectures
Conclusions
References
Ayazi F, Najafi K (1999) High aspect-ratio polysilicon micromachining technology. Int Conf Solid
State Sens Actuators Japan
Baker RJ (1998) CMOS: circuit design, layout and simulation. IEEE Press, New York
Bustillo JM, Howe RT, Muller RS (1998) Surface micromachining for microelectromechanical
systems. Proc IEEE 86:1552–1574
Chaitanya P, Sethuraman S, Kanthamani S, Roomi SMM (2023) Nanoscale modeling of an effi-
cient carbon nanotube-based RF switch using XG-boost machine learning algorithm. Microsyst.
Technol
Cho IJ, Song T, BAEK SH, Yoon E (2005) A low-voltage and low-power RF MEMS series and
shunt switches actuated by a combination of electromagnetic and electrostatic forces. IEEE
Trans Microw Theory Tech 53:2450–2457
Daneshmand M, Fouladi S, Mansour RR, Lisi M and Stajcer T (2009) Thermally-actuated latching
RF MEMS switch. Proc IEEE Int Microw Symp MTT-S Digest 1217–1220
Darban H, Luciano R, Basista M (2023) Calibration of the length scale parameter for the stress-
driven nonlocal elasticity model from quasi-static and dynamic experiments. Mech Adv Mater
Struct 30:3518–3524
Davari B, Dennard RH, Shahidi GG (1995) CMOS scaling for high performance and low power
the next ten years. Proc IEEE 83(4):595–606
Esfarjani K, Mansoori GA (2005) Statistical mechanical modeling and its application to nanosys-
tems, vol X
Fedotov A, Vakhrushev A, Severyukhina O, Sidorenko A, Savva Y, Klenov N, Soloviev I (2021)
Theoretical basis of quantum-mechanical modeling of functional nanostructures. Symmetry
(Basel) 13
Han X, Zheng Y, Chai S, Chen S, Xu J (2020) 2D organic-inorganic hybrid perovskite materials
for nonlinear optics. Nanophotonics 9:1787–810
Hou KM, Diao X, Shi H, Ding H, Zhou H, de Vaulx C (2023) Trends and challenges in AIoT/IIoT/
IoT implementation. Sensors 23
Kaynak BE, Alkhaled M, Kartal E, Yanik C, Hanay MS (2023) Mode nanoelectromechanical
systems, pp 1–24
Kovacs GTA, Maluf NI, Petersen KE (1998) Bulk micromachining of silicon. Proc IEEE 86:1536–
1551
Li Y, Worsey E, Bleiker SJ, Edinger P, Kulsreshath MK, Tang Q, Takabayashi AY, Quack N,
Verheyen P, Bogaerts W, Gylfason KB, Pamunuwa D, Niklaus F (2023) Integrated 4-terminal
single-contact nanoelectromechanical relays implemented in a silicon-on-insulator foundry
process. Nanoscale 15:17335–17341
Liu C (2011) Foundations of MEMS, 2nd edn. Pearson Education, London, p 560
Lyshevski SE (2000) Micro- and nano- electromechanical systems: fundamentals of micro- and
nano- engineering. CRC Press
Lyshevski SE (2002) MEMS and NEMS systems, devices and structures, 1st edn. CRC Press
Models M, Algebra L, Techniques MC (2015) The Role of Mathematical Models and Its
Applications in the Field of Nano Technology 3:44–49
Niezrecki C, Brei D, Balakrishnan S, Moskalik A (2001) Piezoelectric actuation: state of the art.
The Shock and Vibration Digest 33:269–280
Pakhare KS, Shimpi RP, Guruprasad PJ (2023a) Augmentation of the stable static travel range of
electrostatically actuated slender nano-cantilevers by accounting for the influence of the van der
Waals force. Int J Comput Methods Eng Sci Mech 24:328–344
Pakhare KS, Guruprasad PJ, Shimpi RP (2023b) Static travel range augmentation of electrostatically
actuated slender nano-cantilevers using particle swarm optimisation. Arch Appl Mech 93:2051–
2080
Peng J, Li B, Chen W, Hu H, Huang Q, Chen X (2023) Atomically dispersed nano Au clusters
stabilized by Zr on the TS-1 surface: significant enhancement of catalytic oxidation ability
using H2 and O2. Appl Surf Sci 619:156733
9 Nanoelectromechanical Switches: As a Steep Switching Device 251
Petersen KE (1978) Dynamic micromechanics on silicon: techniques and devices. IEEE Trans
Electron Devices 25(10):1241–1250
Rana S, Qin T, Bazigos A, Grogg D, Despont M, Ayala CL, Hagleitner C, Ionescu AM, Canegallo
R, Pamunuwa D (2014) Energy and latency optimization in NEM relay-based digital circuits.
IEEE Trans Circuits Syst I Regul Pap 61:2348–2359
Rebeiz GM (2003) RF MEMS: theory, design and technology. Libre Digital. Wiley, New York
Reynaud A, Trzpil W, Dartiguelongue L, Çumaku V, Fortin T, Sansa M, Hentz S, Masselon C (2023)
Compact and modular system architecture for a nano-resonator-mass spectrometer. Front Chem
11:1–12
Rottenberg X, Rochus V, Jansen R, Ramezani M, Severi S, Witvrouw A, Tilmans HAC (2012)
Novel nano-electromechanical relay design procedure for logic and memory applications. NSTI-
Nanotech2:613–616
Senturia SD (2001) Microsystem design. Kluwer Academic Publishers, Boston
Smith B, Mamun MA, Horstmann B, Ozgur U, Avrutin V (2023) Multi-gate in-plane actuated NEMS
relays for effective complementary logic gate designs. J Microelectromech Syst 32:604–611
Song YH, Yoon JB (2016) Micro and nanoelectromechanical contact switches for logic, memory
and power applications. In: Kyung CM (ed) Nano devices and circuit techniques for low-energy
applications and energy harvesting. KAIST Research Series. Springer, Dordrecht
Thesis A (2014) Mathematical modelling of nano-electronic systems permission to use
Vanlalchaka RH, Maity R, Pratap Maity N (2023) A low power design using FinFET based adiabatic
switching principle: application to 16-Bit arithmetic logic unit. Ain Shams Eng J 14:101948
Wang Y, Li Z, McCormick DT, Tien NC (2003) A micromachined RF microrelay with electrothermal
actuation. Sensors Actuators A 103:231–236
Wang L, Zhang P, Liu Z, Wang Z, Yang R (2023) On-chip mechanical computing: status, challenges,
and opportunities. Chip 2:100038
Xu N, Cheng ZD, Tang JD, Lv XM, Li T, Guo ML, Wang Y, Song HZ, Zhou Q, Deng GW (2021)
Recent advances in nano-opto-electro-mechanical systems. Nanophotonics 10:2265–2281
Chapter 10
The Device-Circuit Co-design Perspective
on Phase-Transition and Hybrid
Phase-Transition (Hyper-) FETs,
Phase-FETs, and MOSFET
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 253
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_10
254 A. Choubey et al.
Introduction
when power is disconnected is the most prevalent usage of phase transition devices.
To keep information safe and secure, phase change memory (PCM) makes use of
chalcogenide glasses and other phase changing materials. PCM devices are faster
and more durable than conventional flash memories.
Neuromorphic computing that attempts to create a computer system that acts and
functions like human brain also makes use of phase transition devices. This tech-
nology can perform tasks like pattern recognition and machine learning by mimicking
the actions of neurons and synapses. In logic and computing, phase transition devices
could be utilized to create reprogrammable analog circuits and reconfigurable logic
gates (Zimmers et al. 2013). When compared to conventional electronics, phase tran-
sition devices are faster, use less energy and can be used in a wider variety of applica-
tions. When more than one phase or mechanism contributes to the switching behavior
of a material, we say that the material is undergoing a hybrid phase transition. As a
result, they may exhibit novel and intriguing features not seen in conventional phase
transition devices.
The resistive random access memory (RRAM) is an example of a hybrid phase
transition device since it switches resistance using both filamentary and interface
processes. In interface switching, the resistance of the device is changed by adjusting
the interface between two materials, whereas in filamentary switching, a conductive
filament is generated within the device by the movement of ions.
Combining the ferroelectric effect (the capacity of some materials to flip their
polarization in response to an electric field) with resistive switching, the ferroelectric
resistive switching (FE-RS) device is another example of a hybrid phase transition.
The resistance of an FE-RS device can be affected by the polarization of the ferro-
electric materials leading to a complicated switching behavior that can be modulated
by an external voltage. Active research is being conducted on hybrid phase transition
devices because of their potential to pave the way for more powerful computer and
memory technologies. However, their intricacy also creates difficulties in analyzing
their actions and improving their efficiency.
cut down on power consumption and increase switching speeds (Goodwill et al.
2019).
The current flow between the source and drain terminals of a conventional FET is
regulated by the gate voltage. However, with a Hyper-FET, the effective resistance
of the channel between the source and drain is also affected by the gate voltage.
This allows the Hyper-FET to turn on and off more quickly than a standard FET
while consuming less energy. Digital circuits such as logic gates, memory cells,
and other forms of digital logics can all make use of hyper-FETs. Hyper-FETs’
with increased efficiency and speed in digital circuits have the potential uses in
fields like high-speed computing and telecommunications. However, hyper-FETs
are still a developing technology, and there are obstacles to overcome to put them
into practice. Hyper-FETs, for instance, can be more expensive to create since their
fabrication process is more sophisticated than that of conventional FETs. More-
over, Hyper-FETs’ performance can be impacted by variances in the manufacturing
process making it challenging to mass-produce devices with consistent performance
(Polozov et al. 2020).
While Hyper-FETs have the potential to significantly boost the efficiency of digital
circuits, they nevertheless face several obstacles before they become the industry
standard. The ability to operate at high frequencies with low-power consumption
is a major benefit of HFET circuits. Because of this, mobile devices and wireless
communication systems can benefit greatly from their utilization. When it comes
to obtaining high-speed, high-frequency performance in a wide range of electronic
applications is crucial.
Transitions from one type of material to another such as metal to insulator or insulator
to metal are known as metal–insulator transitions (MITs). Multiple MIT varieties
have been identified in a wide range of materials. Some instances are as follows:
1. In materials having partially full electron bands and high electron correlations,
a phase transition known as a Mott transition can take place. When the electrons
become more localized due to changes in temperature or other environmental
variables, the material changes from metallic to insulating state.
2. When the disorder in a material becomes severe enough to confine electrons in
localized states, a phenomenon known as Anderson localization develops. This
causes the electrons to get trapped that changes the material’s properties from
metallic to insulating.
3. When electrons in a material undergo a charge density wave (CDW) transition,
the electrons rearrange themselves in a periodic pattern that distorts the crystal
lattice. The CDW can either strengthen or weaken as a function of temperature or
other environmental conditions causing the material to transform from metallic
to insulating state.
10 The Device-Circuit Co-design Perspective on Phase-Transition … 257
4. Spin density wave (SDW) transition occurs when electrons in a material acquire
a periodic magnetic moment. The SDW can go from being strong to weak
causing a shift in phase from metallic to insulating as the temperature or other
environmental conditions are altered.
Some of the many varieties of MITs observed in different materials are listed
above. Depending on the material and environmental conditions, the precise process
behind each type of MIT can differ. To create new materials with desirable electrical
properties for various applications such as electronics and energy storage, it is crucial
to get a deeper understanding of these transitions (Vu et al. 2020).
Anderson’s Localization
Condensed matter physicists see the Peierls transition when distortion of a one-
dimensional crystal leads to a periodic modulation of the atomic locations along
the crystal axis. The interaction between the crystal’s electrical and lattice degrees
of freedom causes this phase change. In 1955, Rudolf Peierls postulated the Peierls
transition as a mechanism to explain the dramatic drop in electrical conductivity seen
in certain materials such as metals when cooled to very low temperatures. Peierls
demonstrated that the creation of a charge density wave as a result of the distortion
of the crystal lattice might account for the observed drop in conductivity.
258 A. Choubey et al.
One-dimensional systems like polyacetylene and inorganic materials like TaS3 and
NbSe3 have been seen to undergo the Peierls transition. Changing the environment’s
temperature, pressure, or doping can all trigger the changeover.
Important consequences for material characteristics are associated with the Peierls
transition. For instance, a material’s electrical conductivity can drop, its resistivity
can rise, and its magnetic and thermal properties can shift due to the creation of a
charge density wave. The electrical and mechanical properties of carbon nanotubes
and other one-dimensional structures can be affected by the Peierls transition that
plays a significant role in the physics of these structures. The Peierls transition has
far-reaching consequences for the properties and uses of one-dimensional materials
making it a key concept in the field of condensed matter physics (Wu et al. 2021).
In condensed matter physics, the Mott transition describes the phase change from
metal to insulator caused by strong electron–electron interactions. Sir Nevill Mott
who originally documented this change in 1949 gets the credit for naming it after
himself. When a material’s electrons are in a metallic state, they can move freely and
the material can conduct electricity. However, in some materials, the electrons might
become localized due to the strong interactions between them and so trapped. This
can cause the material to take on the properties of an insulator rendering it highly
resistant to the flow of electricity.
When the energy barrier associated with electron hopping between neighboring
sites is overcome by the intensity of the electron–electron interactions, the Mott
transition takes place. This can happen when the environment undergoes a shift in
temperature, pressure, or doping.
Important consequences for material characteristics are associated with the Mott
transition. The material’s magnetic, thermal, and transport properties may all be
affected. The transition has close ties to the phenomenon of high-temperature super-
conductivity making it significant in the physics of high-temperature superconduc-
tors. The Mott transition is a fundamental idea in condensed matter physics with
far-reaching consequences for how materials behave and their potential uses in
electronics and other technologies (Zhang et al. 2021).
The threshold voltage, gate capacitance, and channel length are three of the most
important device factors that affect a MOSFET’s performance. The device’s speed,
power consumption, and dependability are all heavily dependent on these values.
However, when device dimensions decrease, scaling limitations start to become
apparent. These restrictions on MOSFETs are typically associated with the device’s
physical dimensions and the rising power density that results from the device’s minia-
turization. Smaller devices have shorter channels and smaller gate capacitances
allows faster switching at reduced power costs. However, the performance of the
device is limited by quantum mechanical processes like tunneling and short channel
effects when the channel length approaches the limit of a few nanometers.
To get over these scaling barriers, scientists are looking into novel device topolo-
gies and materials for constructing state-of-the-art MOSFETs. Germanium and III-V
semiconductors are two examples of novel materials being researched for their poten-
tial to replace silicon in the future MOSFETs. There are alternatives to conventional
planar MOSFETs that may provide a better performance, such as nanowire and
FinFET architectures. Some of the most important factors in a MOSFET’s perfor-
mance are its device parameters such as its threshold voltage, gate capacitance, and
channel length. To keep up with the high rate of technical progress in modern elec-
tronic devices, scaling restrictions relating to quantum effects and power density will
need to be overcome as device dimensions continue to reduce (Goh and Jeon 2018).
of a Peierls insulator can be adjusted by changing the size of the band gap using a
gate voltage. As a whole, the field effect provides a potent tool for manipulating the
electronic characteristics of Anderson and Peierls insulators opening the door to the
creation of novel materials with tunable electronic transport properties for use in a
variety of electronics and energy-related applications (Paoletta and Demkov 2021).
An electronic device called a Mott field-effect transistor (FET) employs the Mott
transition to regulate the current passing through a material. In the Mott transition,
the electronic structure of a material undergoes a change that causes the substance
to go from an insulating to a metallic phase. The material in a Mott FET is typically
a transition metal oxide with a tightly correlated electron system that experiences a
Mott transition as a result of a change in an external parameter. A thin insulating layer
such as silicon dioxide separates the gate electrode from the material in a field-effect
transistor (FET) structure.
The electrical characteristics of a material can be altered by applying a voltage to
the gate electrode creating an electric field across the insulating layer. In particular,
the fermi level can be moved within a material due to the electric field inducing a
change in the energy levels of the electrons. The material undergoes a Mott tran-
sition from an insulating to a metallic state when the fermi level crosses the Mott
gap allowing electrons to flow as a current. The Mott FET is useful in low-power
logic circuits, high-speed electronic devices, and more. It can even function at room
temperature. Understanding the intricate electrical properties of strongly correlated
electron systems is difficult and the theoretical description of the Mott FET is still
an active area of research (Bahmani et al. 2020).
262 A. Choubey et al.
By applying an external electric field through the gate electrode, a Mott FET can
manipulate the electrical characteristics of a tightly correlated electron system. An
electric field controls the flow of electrons in a Mott FET making it a form of field-
effect transistor. Between two metal electrodes and an insulating layer separating it
from a gate electrode is where the Mott FET’s strongly correlated electron material,
like a transition metal oxide, is located. The electrical characteristics of a material
can be altered by applying a voltage to the gate electrode, creating an electric field
across the insulating layer.
The Mott gap is the energy difference between the valence band and the conduction
band in strongly correlated electron materials. Strong Coulomb repulsion between
electrons in the material causes the Mott gap because the electrons are prevented
from freely migrating and the material is in an insulating condition. When the gate
voltage is raised, an electric field is created across the insulating layer causing the
electrons within the material to change energy levels. The material undergoes a Mott
transition from an insulating to a metallic state when the gate voltage hits a critical
value causing the fermi level to cross the Mott gap. As a result, an electric current
can flow through the material turning the device on.
The Mott FET can be toggled between its conducting “on” state and it is insulating
“off” state (where no current flows through the device) by adjusting the gate voltage.
Because of this, low-power logic circuits and other high-speed electronic devices can
be created using the Mott FET as a switch. In conclusion, the electronic properties
of a tightly correlated electron system are manipulated by an external electric field
generated by a gate electrode in a Mott FET’s operating mechanism. The Mott FET
may control the current through the device and function as a switch in electrical
circuits by producing a Mott transition in the material (Abrar et al. 2022).
Length of Screening
repulsion between the electrons. Therefore, to induce a Mott transition and adjust
the material’s electrical characteristics, the gate electrode must be placed very close
to the material. Recent studies have focused on increasing the screening length of
Mott FETs by manipulating the electrical characteristics of the strongly correlated
electron material and optimizing the gate insulator material and thickness. Increases
in screening length can boost device performance and pave the way for innovative
uses of Mott FETs in electronics.
When the gate voltage of a Mott FET is less than the threshold voltage, the voltage
needed to cause a Mott transition in the strongly correlated with electron material and,
the transistor exhibits subthreshold behavior. The Mott FET operates like a standard
field-effect transistor below its threshold voltage with the gate voltage regulating the
flow of electrons through the material. In the off state of a Mott FET where the gate
voltage is less than the threshold voltage, no current flows through the device. The
channel conductance rises as the gate voltage rises because the electrical character-
istics of the material are gradually changed. The following equation describes the
Mott FET’s subthreshold behavior.
The subthreshold slope factor n is defined as follows: where I D is the drain current,
I 0 is a constant, V G is the gate voltage, V T is the thermal voltage, and n is the
subthreshold voltage. An essential parameter that controls how steep the transistor’s
behavior is in the subthreshold region is the subthreshold slope factor n. The density of
states and the Coulomb interaction between electrons in a Mott FET are responsible
for the subthreshold slope factor. Because of the strong Coulomb contact between
electrons, Mott FETs often have a larger subthreshold slope factor than traditional
field-effect transistors. Mott FETs are useful in low-power electronics because of
their subthreshold behavior that allows them to function at low voltages and currents.
Power consumption in electronic circuits can be decreased and energy efficiency
increased by fine-tuning the Mott FET’s subthreshold behavior.
The performance of a Mott FET relies heavily on the interface parameters between
the gate dielectric and the strongly correlated electron material. Several device char-
acteristics including threshold voltage, subthreshold slope, and gate leakage current
are sensitive to interface quality. The creation of a high-quality interface between the
gate dielectric and the strongly correlated electron material is a significant difficulty
264 A. Choubey et al.
The features and performance of Mott FETs have been the focus of extensive study
in recent years with several experimental investigations examining various aspects of
these devices. Some case studies of experiments involving Mott FETs are as follows:
1. One of the most difficult aspects of making Mott FETs is creating a good inter-
face between the gate dielectric and the strongly correlated electron material. To
overcome this difficulty, scientists have come up with several solutions including
the use of high-dielectric-constant gate dielectrics, surface treatments, and mate-
rial engineering strategies. Pulsed laser deposition, sputtering, and molecular
beam epitaxy are just a few examples of the state-of-the-art deposition processes
generally used in the manufacture of Mott FETs.
2. The threshold voltage of a Mott FET is a function of the Mott transition and
the gate voltage both of which can be tuned. Researchers have shown that a
Mott FET’s threshold voltage may be adjusted by manipulating the device’s gate
voltage, the operating temperature, or the doping concentration. Researchers have
demonstrated that the threshold voltage of vanadium dioxide (VO2 ) Mott FETs
may be changed by a factor of more than 10 by adjusting the gate voltage.
3. An essential parameter for low-power electronics is the subthreshold behavior of
a Mott FET. Due to the strong Coulomb contact between electrons, experimental
studies have revealed that the subthreshold slope factor of a Mott FET is often
greater than that of a normal field-effect transistor. Using high-dielectric-constant
10 The Device-Circuit Co-design Perspective on Phase-Transition … 265
gate dielectrics or manipulating the device’s interface properties have both been
shown to increase the subthreshold slope.
4. Due to their unusual electrical features, Mott FETs can function at very high
frequencies. High transconductance and low output capacitance have been seen in
experimental studies of Mott FETs operating at a frequency of several gigahertz.
Optimizing the device structure and interface properties is essential for high-
frequency performance in Mott FETs.
Experiments on Mott FETs have shown that they can be used in low-power
and high-performance circuits. However, further study is required to improve their
performance and dependability and to create commercially viable mass-produced
fabrication methods.
When the gate dielectric is a solid insulator like silicon dioxide or hafnium oxide, the
resulting device is called a solid dielectric gated Mott FET. For low-power applica-
tions like portable electronics and sensors, a solid dielectric gate is used in a Mott FET
to provide precise control of the gate voltage. The fundamental difference between a
regular MOSFET and a solid dielectric gated Mott FET is the type of channel mate-
rial, although the basic functionality is the same. The channel material in a Mott FET
is a transition metal oxide or other strongly correlated electron material that under-
goes a Mott-insulating phase transition over a threshold gate voltage. This phase
transition causes an abrupt alteration in the electrical conductivity of the material
allowing the device to toggle between its active and inactive states.
Material qualities of the channel material, quality of the interface between the
channel material and the gate dielectric, and device structure design are only a few
of the elements that affect the performance of a solid dielectric gated Mott FET.
Utilizing high-dielectric-constant gate dielectrics, engineering the device’s interface
properties, and producing the channel material with high quality and purity are only
some of the methods used by researchers to obtain high-performance Mott FETs. A
solid dielectric gate in a Mott FET allows for low-voltage operation which in turn
reduces power consumption and boosts the device’s energy efficiency. The use of a
solid dielectric gate permits the construction of devices with very small dimensions
which opens up the possibility of scaling.
Low-power electronics and sensing applications stand to benefit greatly from
the use of solid dielectric gated Mott FETs. The Mott transition and low-voltage
operation are just two of the special features that set it apart from regular MOSFETs.
However, more study is required to improve the device’s efficiency and dependability
as well as to create mass-production-ready fabrication methods.
266 A. Choubey et al.
The field-effect transistor (FET) known as a correlated oxide FET employs a channel
material composed of strongly correlated electrons. Correlated oxide FETs use mate-
rials with strong electron–electron interactions, such as transition metal oxides which
exhibit several interesting physical properties including high-temperature supercon-
ductivity and enormous magnetoresistance in contrast to conventional FETs that uses
semiconductors like silicon or gallium arsenide.
Correlated oxide field-effect transistors (FETs) function on the principle of the
Mott transition that occurs when a strongly correlated electron material changes phase
from insulator to metal when subjected to a threshold gate voltage. The electric
field of the gate causes a redistribution of electrons in the material leading to the
development of a conductive channel that facilitates the phase transition. Use of
correlated oxide materials in FETs has some benefits, one of which is the possibility
of high mobility that can result in excellent device performance. Furthermore, these
materials can display a range of intriguing physical features including magnetism
and superconductivity that may find value in fields like spintronics and quantum
computing.
However, there are also certain obstacles associated with the use of correlated
oxide materials in FETs. The characteristics of such materials are generally highly
susceptible to impurities and flaws making their synthesis and processing chal-
lenging. The performance and dependability of the devices may also be impacted
by the Mott transition’s side effects such as heating and instability. Despite of these
obstacles, great progress has been achieved in the development of high-performance
and reliably correlated oxide field-effect transistors (FETs). Researchers have
demonstrated correlated oxide FETs with high mobility and low-power consump-
tion suggesting that these devices have great potential for future electronics and
computing applications.
Field-effect transistors (FETs) that use organic materials as channel materials and
function via the Mott transition are known as organic Mott FETs. Most organic Mott
FETs use polymers or tiny molecules with strong electron–electron interactions as the
organic materials. A critical voltage applied to the gate induces a transition from an
insulating to a metallic state in organic material similar to the operation mechanism
of a typical Mott FET. However, the organic material’s unique features can affect
behavior and performance in ways that are distinct from those of traditional inorganic
Mott FETs.
Since organic materials may be produced using simple processes like spin-coating
or inkjet printing, organic Mott FETs provide the promise of low-cost and flex-
ible device construction. Also, organic materials can show great carrier mobility
10 The Device-Circuit Co-design Perspective on Phase-Transition … 267
To generate a Mott transition in the channel material, ionic liquid-gated Mott FETs
use an ionic liquid as the gate dielectric. At zero gate voltage, the channel material
is often a strongly correlated electron material like a transition metal oxide. Instead
of using a solid dielectric like silicon dioxide for the gate in a conventional Mott
FET, an ionic liquid is utilized. The insulating channel material undergoes a phase
transition from the insulating to the metallic state when the ionic liquid is brought
into contact with it and a gate voltage is provided.
Compared to more conventional solid dielectrics, ionic liquid gate dielectrics
have several benefits. Due to their strong ionic conductivity, ionic liquids can be
used for effective channel gating at low voltages. Ionic liquids are well-suited for use
in electronic devices because they may be engineered to have desirable chemical and
physical properties such as high stability and low viscosity. High on/off current ratios
and low subthreshold swings are just two of the intriguing performance properties
of ionic liquid-gated Mott FETs making them good candidates for application in
low-power electronics and sensors. However, there are still obstacles to overcome
in the advancement of these devices such as the optimization of the ionic liquid
characteristics and the decrease of device variability caused by the Mott transition’s
sensitivity to impurities and defects in the channel material.
The electrons in the channel material of an ionic liquid-gated Mott FET are redis-
tributed when a gate voltage is delivered through the ionic liquid which is the gating
mechanism. The ionic liquid acts as a gate dielectric, collecting charge carriers at
the channel/dielectric contact. The gate voltage can cause a Mott transition in a Mott
insulator channel material by altering the occupied of the d orbitals. Strong electron–
electron interactions lead to a partially filled band with localized electrons at zero gate
voltage in a Mott insulator. The electrons in the partially filled band are redistributed
268 A. Choubey et al.
when a gate voltage is applied due to the accumulation of charge carriers in the ionic
liquid at the interface with the channel material. The electrons may become less
tightly bound resulting in a change to a metallic phase. Because of the ionic liquid’s
strong ionic conductivity and its ability to accumulate charge carriers immediately at
the interface with the channel material, the gating mechanism in ionic liquid-gated
Mott FETs is very effective. Inducing the Mott transition typically requires a small
gate voltage that can enhance device efficiency and reduce power consumption.
However, impurities and flaws in the channel material might influence the effi-
ciency and stability of the Mott transition that can be a problem for ionic liquid-gated
Mott FETs. To enhance the efficiency and dependability of these gadgets, scientists
are attempting to fine-tune both the channel material and the ionic liquid’s qualities.
When doing experiments with a field-effect transistor (FET), an ionic liquid is
used as the gate dielectric. When a gate voltage is supplied via the ionic liquid, the
conductivity of the channel material is altered. The channel material in these studies
is commonly a transition metal oxide, which exhibits a Mott-insulating phase at zero
gate voltage since its electrons are tightly correlated. A Mott transition is induced in
the channel material when an ionic liquid is brought into contact with it and a gate
voltage is applied. The high on/off current ratios and minimal subthreshold swings
demonstrated by ionic liquid-gated FET devices suggest their potential utility in
low-power electronics and sensors. Experiments that make use of ionic liquid-gated
FETs include, but are not limited to:
1. Mott transitions in transition metal oxides have been studied using ionic liquid-
gated field effect transistors (FETs). These oxides include V2 O5 , MoO3 , and
TiO2 .
2. Researchers are striving to create novel ionic liquid gate dielectrics with desirable
qualities including high stability and low viscosity to enhance the functionality
of ionic liquid-gated field effect transistors (FETs). Research in this area focuses
on the creation and characterization of novel ionic liquids for use in FET devices.
3. Controlling the characteristics of the channel material and the ionic liquid gate
dielectric, scientists are attempting to improve the performance of ionic liquid-
gated FET devices. Characterizing the on/off current ratio, subthreshold swing,
and gate leakage current are all part of these investigations as it is optimizing
device settings for maximum efficiency. When it comes to investigating Mott
insulators and creating novel electrical devices with enhanced performance
characteristics, ionic liquid-gated FET studies offer a viable method.
Traditional Mott FETs use an ionic liquid gate although different gate designs are
possible. Some examples of non-traditional gate architectures that have been studied
are:
1. For MIS gates, a metal gate is used with an insulating layer separating it from the
Mott insulator channel. The conductivity of the channel material is altered when a
10 The Device-Circuit Co-design Perspective on Phase-Transition … 269
voltage is given to the metal gate inducing a charge in the insulating layer. Using
other insulating layers, including hafnium dioxide, MIS gate Mott transistors
have been studied and have shown promising performance characteristics.
2. The use of a solid electrolyte substance as the gate dielectric characterizes solid-
state electrolyte gates. The channel material’s conductivity is altered by the appli-
cation of the gate voltage through the solid electrolyte. High on/off current ratios
and low subthreshold swings have been seen in solid-state electrolyte gate Mott
transistors fabricated from a variety of solid electrolyte materials such as lithium
lanthanum titanate.
3. For graphene gates, the graphene sheet itself serves as the gate electrode.
Bypassing the gate voltage through the graphene layer, the channel material’s
conductivity can be altered. Research into graphene gate Mott transistors using
several types of graphene, such as graphene oxide has yielded encouraging
results.
4. In the case of ferroelectric gates, the gate dielectric is itself ferroelectric. The
channel material’s conductivity is altered as a result of the gate voltage being
delivered via the ferroelectric material creating a polarization charge in the ferro-
electric. High on/off current ratios and low subthreshold swings have been seen
in ferroelectric gate Mott transistors fabricated from a variety of ferroelectric
materials, including lead zirconate titanate.
Overall, experimenting with different gate designs is a potential route toward
creating Mott transistors with enhanced performance characteristics and tunable
attributes.
Conclusion
In this chapter discusses the distinct roles that various types of FETs will play in
future integrated circuits, as well as their synergy. Additionally, it addresses the
coexistence of these novel transistors with traditional MOSFETs, offering insights
into the opportunities and challenges facing the rapidly evolving semiconductor
industry.
References
Abrar F et al (2022) A new dynamic logic circuit design with low leakage power. In: 2022 IEEE inter-
national conference on electronics, computing and communication technologies (CONECCT).
https://doi.org/10.1109/conecct55679.2022.9865847
Acerce M, Voiry D, Chhowalla M (2015) Metallic 1T phase MoS2 nanosheets as supercapacitor
electrode materials. Nat Nanotechnol 10(4):313–318. https://doi.org/10.1038/nnano.2015.40
Alam S, Amin N, Gupta SK, Aziz A (2021) Monte Carlo variation analysis of NCFET-based 6-T
SRAM. In: Proceedings of the 2021 on great lakes symposium on VLSI, 2021. https://doi.org/
10.1145/3453688.3461742
Bahmani M, Faghihnasiri M, Lorke M, Kuc A-B, Frauenheim T (2020) Electronic properties of
defective MoS2 monolayers subject to mechanical deformations: a first-principles approach.
Phys Status Solidi 257(5). https://doi.org/10.1002/pssb.201900541
Bellino L, Florio G, Puglisi G (2019) The influence of device handles in single-molecule
experiments. Soft Matter 15(43):8680–8690. https://doi.org/10.1039/c9sm01376h
Cho S et al (2015) Phase patterning for ohmic homojunction contact in MoTe 2. Science
349(6248):625–628. https://doi.org/10.1126/science.aab3175
Goh Y, Jeon S (2018) The effect of the bottom electrode on ferroelectric tunnel junctions based on
CMOS-compatible HfO2. Nanotechnology 29(33):335201. https://doi.org/10.1088/1361-6528/
aac6b3
Goodwill JM et al (2019) Spontaneous current constriction in threshold switching devices. Nat
Commun 10(1). https://doi.org/10.1038/s41467-019-09679-9
Han J, Orshansky M (2013) Approximate computing: an emerging paradigm for energy-efficient
design. In: 2013 18th IEEE European test symposium (ETS). https://doi.org/10.1109/ets.2013.
6569370
Li W et al (2021) High-performance CVD MoS2 transistors with self-aligned top-gate and bi
contact. In: 2021 IEEE international electron devices meeting (IEDM). https://doi.org/10.1109/
iedm19574.2021.9720595
Liu L et al (2022) Uniform nucleation and epitaxy of bilayer molybdenum disulfide on sapphire.
Nature 605(7908):69–75. https://doi.org/10.1038/s41586-022-04523-5
Maxey K et al (2022) 300 mm MOCVD 2D CMOS materials for more (than) Moore scaling. In:
2022 IEEE symposium on VLSI technology and circuits (VLSI technology and circuits). https://
doi.org/10.1109/vlsitechnologyandcir46769.2022.9830457
O’Brien KP et al (2021) Advancing 2D monolayer CMOS through contact, channel and interface
engineering. In: 2021 IEEE international electron devices meeting (IEDM). https://doi.org/10.
1109/iedm19574.2021.9720651
Paoletta T, Demkov AA (2021) Pockels effect in low-temperature rhombohedral BaTiO3. Phys Rev
B 103(1). https://doi.org/10.1103/physrevb.103.014303
Polozov VI, Maklakov SS, Rakhmanov AL, Maklakov SA, Kisel VN (2020) Blow-up overheating
instability in vanadium dioxide thin films. Phys Rev B 101(21). https://doi.org/10.1103/phy
srevb.101.214310
10 The Device-Circuit Co-design Perspective on Phase-Transition … 271
Vu TD, Liu S, Zeng X, Li C, Long Y (2020) High-power impulse magnetron sputtering deposition
of high crystallinity vanadium dioxide for thermochromic smart windows applications. Ceram
Int 46(6):8145–8153. https://doi.org/10.1016/j.ceramint.2019.12.042
Wang Q et al (2022) Layer-by-layer epitaxy of multi-layer MoS2 wafers. Nat Sci Rev 9(6). https://
doi.org/10.1093/nsr/nwac077
Wu G, Jiao X, Wang Y, Zhao Z, Wang Y, Liu J (2021) Ultra-wideband tunable metamaterial
perfect absorber based on vanadium dioxide. Opt Express 29(2):2703. https://doi.org/10.1364/
oe.416227
Xia C, Zhang S, Sun D, Jiang B, Wang W, Xin X (2018) Coassembly of mixed weakley-type
polyoxometalates to novel nanoflowers with tunable fluorescence for the detection of toluene.
Langmuir 34(22):6367–6375. https://doi.org/10.1021/acs.langmuir.8b00283
Xiang Z et al (2020) Low temperature fabrication of high-performance VO2 film via embedding
low vanadium buffer layer. Appl Surf Sci 517:146101. https://doi.org/10.1016/j.apsusc.2020.
146101
Zhang Y, Xiong W, Chen W, Zheng Y (2021) Recent progress on vanadium dioxide nanostructures
and devices: fabrication, properties, applications and perspectives. Nanomaterials 11(2):338.
https://doi.org/10.3390/nano11020338
Zimmers A et al (2013) Role of thermal heating on the voltage induced insulator-metal transition
in VO2. Phys Rev Lett 110(5). https://doi.org/10.1103/physrevlett.110.056601
Chapter 11
Feedback Field-Effect Transistors/Zero
Subthreshold Swing and Zero Impact
Ionization FET
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 273
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_11
274 R. A. Kumar et al.
Introduction
A specific kind of FET called the Ionization Field-Effect Transistor with Zero Impact
was created to solve the problem of subthreshold swing and enhance energy efficiency
in electronic equipment. The goal is to attain a subthreshold swing near the theoret-
ical limit of 60 mV/decade, which would allow for extremely effective low-power
operation (Tang et al. 2002).In order to limit the effects of impact ionization—a
phenomenon in which charge carriers in semiconductor materials collect enough
energy to form electron–hole pairs—ZI-FETs include novel materials and structural
11 Feedback Field-Effect Transistors/Zero Subthreshold Swing and Zero … 275
(i) Substrate: The semiconductor material, often silicon, serves as the foundation
for the Fb-FET.
(ii) Front Gate (Gate 1 or G1): The front gate is the primary electrode of gate
located on the semiconductor’s upper surface. It is isolated by a thin insulating
layer, usually silicon dioxide (SiO2 ), from the semiconductor channel.
(iii) Back Gate (Gate 2 or G2): The back gate is an additional gate electrode located
on the bottom or backside of the semiconductor substrate. It is also distinct from
the support material by an insulating layer (Dirani et al. 2016a).
(iv) Source and Drain Contacts: Source and drain terminals are used to connect
the Fb-FET to external circuitry. The channel region between these terminals
is where the transistor action occurs.
276 R. A. Kumar et al.
Operating Principle
The Fb-FET functions according to the same basic idea as a traditional FET, which
is the application of an electric field to a semiconductor channel to modulate its
conductivity. But the Fb-FET’s back gate offers much more versatility and control
over altering the transistor’s characteristics (Solaro and Fonteneau 2016).
Here’s a simplified overview of the operating principle:
(i) Biasing: The conductivity of the channel between the drain and source is
influenced by the electric field created when the front gate (G1) is electri-
cally charged in relation to the source terminal. The charge carrier flow in the
channel—typically electrons or holes—is regulated by this voltage on the front
gate.
(ii) Back Gate Influence: There is more control available at the back gate (G2). The
electric field inside the substrate may be changed by applying a voltage to the
back gate. This alteration may have an impact on how the channel produced
by the front gate voltage behaves. We may modify the transistor’s threshold
voltage, transconductance, and subthreshold slope by changing the voltage on
the back gate.
(iii) Operation Modes: Fb-FETs have many operating modes, such as depletion
mode, in which the front gate depletes the channel, and enhancement mode,
in which the front gate increases channel conductivity (Dirani et al. 2016b).
These modes may be further adjusted via the back gate, allowing for more exact
control.
The Fb-FET structure Fig. 11.1a, b is flexible choice for cutting-edge semicon-
ductor device design as it incorporates a second gate, the back gate, which offers more
control over the behavior of the transistor. Better performance, power efficiency, and
flexibility in a range of applications are made possible by this extra control.
Device Fabrication
The estimated manufacturing process used for the proposed FBFET device is depicted
in as shown in Fig. 11.2 (Wann and Hu 1993). It would have been difficult to
use conventional MOSFET manufacturing techniques since the device needed two
different gate structures, one n-type doped with materials and the other with p-type
materials. For this reason, the p-type and n-type polysilicon gates have to be made
separately. First, as shown in Fig. 11.2a, a fin-type silicon channel needed to be
created. Subsequently, as shown in Fig. 11.2b–e, a series of Deposition and Etching
procedures were done twice. Despite being a more difficult process to fabricate than
the conventional MOSFET technique, this approach was still achievable with current
technology and was not expected to be unduly complicated (Lacord et al. 2018).
Field-Effect Bipolar-Induced Field-Effect Transistor (FFFET) manufacturing is
a multi-step, somewhat complicated procedure as shown in Fig. 11.2. An abridged
11 Feedback Field-Effect Transistors/Zero Subthreshold Swing and Zero … 277
Fig. 11.1 a P-I-N feedback field-effect transistor (FBFET) with trap-charged spacers is shown
in its device structure (Colinge and Lee 2014). b Its perspective from the channel’s cross-section
(Colinge and Lee 2014)
Fig. 11.2 Method of fabrication employed to create the suggested FBFET structure (Dirani et al.
2016a): a creation of the channel and gate oxide area; b hard mask patterning of the double P-
poly gate; c double P-poly gate deposition; d hard mask patterning of the double N-poly gate; and
e double N-poly gate deposition
278 R. A. Kumar et al.
TCAD Modeling
(v) Device Optimization: By adjusting factors like gate length, gate oxide thick-
ness, and doping profiles, TCAD modeling allows us to carry out design
optimizations (Mueller et al. 2005). It is possible to assess the effects of these
modifications on device performance, which allows us to adjust the FBFET
design to suit certain applications.
(vi) Parameter Extraction: Critical device parameters that are necessary for
describing the performance of the FBFET, such as drain current, transcon-
ductance, and threshold voltage, may be extracted using TCAD.
(vii) Sensitivity Analysis: Sensitivity analysis may be carried out using TCAD
modeling to determine how changes in shape, process parameters, and mate-
rial qualities affect device performance. This is useful for evaluating how
durable the item is under actual manufacturing circumstances.
(viii) Monte Carlo Simulations: TCAD is capable of doing Monte Carlo simula-
tions, which offer insights into how differences in parameters impact device
performance statistically, to account for statistical variances in production.
(ix) Reliability Analysis: Through the modeling of processes such as hot carrier
effects, gate oxide breakdown, and electromigration, TCAD is able to predict
the long-term dependability of FBFETs. Predicting the lifespan of the item
and its gradual decline in performance is essential.
(x) Integration into Circuit Simulations: Upon developing the FBFET model with
TCAD, it may be included into circuit simulation software, such as SPICE,
to examine its performance in more extensive electronic circuits and systems.
All things considered, TCAD modeling provides a thorough method for creating
and evaluating FBFET devices as shown in Fig. 11.3. Without the need for actual
prototypes, it enables engineers and researchers to comprehend how the device
behaves, maximize its performance, and forecast how it will function in real-world
scenarios, greatly speeding up the device development process (Eminente et al. 2007).
Fig. 11.3 Silicon nanowire FBFET’s cross-sectional view for the TCAD simulation (Dirani et al.
2016b)
11 Feedback Field-Effect Transistors/Zero Subthreshold Swing and Zero … 281
Memory Operation
A Feedback FET’s (Fb-FET) memory operation is its capacity to store and hold data
or information in a non-volatile way as shown in Fig. 11.4, which qualifies it for use
in specific memory applications. To accomplish memory functionality, the Fb-FET
blends the special qualities of a feedback mechanism with the fundamentals of a
field-effect transistor. This can be achieved by using a certain operating mode known
as “floating gate mode” or “non-volatile memory mode.” This is how it operates:
Fig. 11.4 a Example of the waveform of a DRAM without a capacitor (Lacord et al. 2018).
b Diagram of a DRAM equivalent circuit without a capacitor that has three states for the logic
values “0” and “1” (Lacord et al. 2018)
282 R. A. Kumar et al.
(v) Erasing: A voltage pulse is delivered to free the imprisoned charge from
the floating gate, erasing the recorded data and restoring the Fb-FET to its
initial condition. Hot electron injection and Fowler–Nordheim tunneling are
two methods that can do this.
Failure Analysis
Failure analysis of a Feedback FET (Fb-FET) entails looking into and identifying
problems or malfunctions that happen while the device is operating (Navarro et al.
2017). Enhancing the performance and dependability of Fb-FET-based devices
requires figuring out the underlying reasons of these problems. The following steps
are usually involved in the failure analysis process:
Finding the precise malfunction or abnormality in the Fb-FET device is the first
step. There are several ways to do this, including visual examination, performance
characterization, and electrical testing.
Isolating and localizing the issue is the next step after failure identification. This
entails figuring out which element or section of the Fb-FET is broken. One way to
use isolation techniques is to probe several areas of the device to find the problem’s
location.
Visual examination and microscopy are frequently employed to assess the Fb-FET’s
physical state. This can assist in locating problems like flaws, physical harm, or
surface contaminants on the equipment.
The characteristics of the semiconductor materials utilized in the Fb-FET may occa-
sionally be investigated using material analysis techniques (Cristoloveanu et al.
2018). This can use methods such as scanning electron microscopy (SEM), trans-
mission electron microscopy (TEM), and energy-dispersive X-ray spectroscopy
(EDS).
To precisely find and isolate flaws inside the device, advanced methods like as elec-
tron beam-induced current (EBIC) and focused ion beam micromachining (FIB) can
be employed.
Finding the failure’s primary cause is crucial once the flaw has been located. Investi-
gating elements such process variances, design faults, material flaws, manufacturing
problems, or electrical overstress may be necessary to achieve this.
284 R. A. Kumar et al.
Process Optimization
Throughout the fabrication process, use strict quality control procedures and in-line
testing to spot any early deviations from the intended parameters. Techniques for
electrical, optical, and physical characterization might fall under this category.
The performance of Fb-FETs is dependent on the thickness and quality of the gate
oxide layer. Reliability depends on optimizing the gate oxide generation method,
such as thermal oxidation or chemical vapor deposition (CVD).
To achieve the required features of the device and to ensure data retention in memory
applications, it is imperative to precisely manage the voltage levels supplied to the
front and back gates during programming and operation.
Optimizing the methods for charge injection onto and retention within the floating
gate is crucial for Fb-FET memory applications. Adjusting programming and deleting
voltages and timings may be necessary for this.
(viii) Scaling and Miniaturization:
Test the long-term stability and data retention of Fb-FET devices in memory
applications using stress testing and accelerated aging.
To forecast device behavior and direct optimization efforts, use computer modeling
and simulation techniques. These instruments can be used to pinpoint important
design elements and process factors.
Create a feedback loop in which areas for improvement are identified by regularly
analyzing data from testing and production. With time, the manufacturing process is
improved and optimized thanks to this constant observation.
286 R. A. Kumar et al.
Figures of Merits
The characteristics or metrics known as figures of merit for Feedback FETs (Fb-
FETs) are employed to assess the efficacy, efficiency, and appropriateness of these
devices for a range of applications (Lee et al. 2018). The particular application and
the intended usage of the Fb-FET determine which figures of merit are selected. The
following are typical figures of merit for Fb-FETs:
(i) Threshold Voltage (Vth):
The Fb-FET starts to conduct at a gate voltage known as the threshold voltage. It is
an essential parameter for figuring out how the device will transition.
(ii) Subthreshold Swing (SS):
The subthreshold swing gauges the Fb-FET’s on/off efficiency. It is an essential char-
acteristic for analog and digital applications that use less power. Better performance
is indicated by lower SS levels.
(iii) On/Off Ratio (I on /I off ):
The current difference between the conducting (ON state) and non-conducting (OFF
state) states of the Fb-FET is represented by the on/off ratio. For digital applications,
high on/off ratios are preferred in order to reduce leakage current during the off-state.
(iv) Transconductance (gm):
The change in drain current in response to a change in gate voltage is measured
by transconductance. In applications involving analog amplification, it is essential.
Better amplification capacity is indicated by higher transconductance (Cho et al.
2019).
(v) Charge Retention Time:
Charge retention time quantifies the amount of time that stored charges on the floating
gate may be maintained without appreciable leakage for Fb-FETs used in non-volatile
memory applications. Extended periods of retention are preferable (Duan et al. 2019).
11 Feedback Field-Effect Transistors/Zero Subthreshold Swing and Zero … 287
The speed at which the Fb-FET can be programmed and erased in non-volatile
memory applications is measured by this figure of merit (Woo et al. 2019). Quicker
operations are frequently favored.
For both analog and digital applications, the voltage range at which the Fb-FET may
consistently function without malfunction or significant leakage is essential.
(ix) Data Retention Stability:
Data retention stability is a term used to describe how well stored information holds
up over time and in different environmental conditions in non-volatile memory
applications.
(x) Yield:
For some uses, Fb-FETs must perform reliably throughout a wide temperature range.
Temperature sensitivity is a measure of how the gadget performs differently at
different temperatures.
288 R. A. Kumar et al.
(xv) Cost-Effectiveness:
The whole cost of producing and incorporating the Fb-FET into a product, including
expenses for materials, fabrication, and testing, is taken into account by the cost-
effectiveness figure of merit (Kwon et al. 2019).
The intended use of the device, industry standards, and the particular performance
requirements of the application all play a role in determining which figures of merit
are most significant for a certain Fb-FET application (Oh et al. 2019). When building
and improving Feedback FETs for a range of applications, including as digital logic,
analog circuits, and non-volatile memory, engineers and researchers take these figures
of merit into account.
Conclusions
References
Cristoloveanu S, Lee KH, Parihar MS, El Dirani H (2018) A review of the Z2-FET 1T-DRAM
memory: operation mechanisms and key parameters. Solid-State Electron 38:10–19
Duan M, Navarro C, Cheng B, Adamu-Lema F (2019) Thorough understanding of retention time
of Z2FET memory operation. IEEE Trans Electron Devices 66:383–388
El Dirani H, Solaro Y, Fonteneau P (2016a) A sharp-switching gateless device (Z3-FET) in advanced
FDSOI technology. In: Proceedings of the 2016 joint international EUROSOI workshop and
international conference on ultimate integration on silicon (EUROSOI-ULIS), Vienna, Austria,
25–27 Jan 2016
El Dirani H, Fonteneau P, Solaro Y, Ferrari P, Cristoloveanu S (2016) Novel FDSOI band-modulation
device: Z2-FET with dual ground planes. In: Proceedings of the 46th European solid-state device
research conference (ESSDERC), Lausanne, Switzerland, 12–15 Sep 2016
Eminente S, Cristoloveanu S, Clerc R, Ohata A, Ghibaudo G, Faynot O, Kernevez N (2007) Ultra-
thin fully-depleted SOI MOSFETs: special charge properties and coupling effects. Solid State
Electron 51:239–244
Hubert A, Bawedin M, Cristoloveanu S, Ernst T (2009) Dimensional effects and scalability of
meta-stable dip (MSD) memory effect for 1T-DRAM SOI MOSFETs. Solid-State Electron
53:1280–1286
Kang H, Cho J, Kim Y, Lim D, Woo S, Cho K, Kim S (2019) Nonvolatile and volatile memory
characteristics of a silicon nanowire feedback field-effect transistor with a nitride charge-storage
layer. IEEE Trans Electron Devices 66:3342–3348
Kwon M, Park K, Baek M, Lee J, Park B (2019) A low-energy high-density capacitor-less I&F
neuron circuit using feedback FET co-integrated with CMOS. IEEE J Electron Devices Soc
7:1080–1084
Lacord J, Parihar MS, François CN, Wakam T, Bawedin M, Cristoloveanu S, Gamiz F (2018)
MSDRAM, A2RAM and Z2-FET performance benchmark for 1T-DRAM applications. In:
Proceedings of the international conference on simulation of semiconductor processes and
devices (SISPAD), Austin, TX, USA, 24–26 Sep 2018, IEEE, Piscataway, NJ, USA
Lee CW, Colinge JP (2013) Design of a subthreshold feedback field-effect transistor (FB-FET) for
biosensor applications. IEEE Trans Electron Devices 60(3):1000–1005
Lee KH, El Dirani H, Fonteneau P, Bawedin M, Sato S, Cristoloveanu S (2018) Sharp switching,
hysteresis-free characteristics of Z2-FET for fast logic applications. In: Proceedings of the 48th
European solid-state device research conference (ESSDERC), Dresden, Germany, 3–6 Sep 2018
Lee C, Sung J, Shin C (2020) Understanding of feedback field-effect transistor and its applications.
Special issue device modeling for TCAD and circuit simulation. Appl Sci 10(9):3070. https://
doi.org/10.3390/app10093070
Mueller W, Aichmayr G, Bergner W, Erben E, Hecht T (2005) Challenges for the DRAM cell scaling
to 40 nm. In: Proceedings of the IEEE international electron devices meeting, Washington, DC,
USA, 5 Dec 2005
Navarro C, Lacord J, Parihar MS, Adamu-Lema F, Duan M, Rodriguez N (2017) Extended analysis
of the Z2-FET: operation as capacitorless eDRAM. IEEE Electron Device Lett 64:4486–4491
Navarro C, Marquez C, Navarro S, Lozano C (2019) Simulation perspectives of sub-1V single-
supply Z2-FET 1T-DRAM cells for low-power. IEEE Access 7:40279–40284
Oh M, Bang S, Kwon M, Park B (2019) A new device characteristic model generation by machine
learning. In: Proceedings of the electron devices technology and manufacturing conference
(EDTM), Singapore, 12–15 March 2019
Rodriguez N, Cristoloveanu S, Gamiz F (2011) Novel capacitorless 1T-DRAM Cell for 22-nm node
compatible with bulk and SOI substrates. IEEE Trans Electron Devices 58:2371–2377
Rodriguez N, Navarro C, Gamiz F, Andrieu F, Faynot O, Cristoloveanu S (2012) Experimental
demonstration of capacitorless A2RAM cells on silicon-on-insulator. IEEE Electon Device
Lett. 33:1717–1719
Solaro Y, Fonteneau P (2016) A sharp-switching device with free surface and buried gates based
on band modulation and feedback mechanisms. Solid State Electron 116:8–11
290 R. A. Kumar et al.
Song KW, Kim JY, Kim H, Chung HW, Kim K, Park HW (2009) A 31 ns random cycle VCAT-based
4F2 DRAM with enhanced cell efficiency. Proc Symp VLSI Circuits, 132–133
Tang M, Xiao S, Tsui JC (2002) Feedback effect in the AlGaAs/InGaAs HEMT. IEEE Electron
Dev Lett 23(4):222–224
Wan J, le Royer C, Zaslavsky A, Cristoloveanu S (2012a) Z2-FET used as 1-transistor high-speed
DRAM. In: Proceedings of the European solid-state device research conference (ESSDERC),
Bordeaux, France, 17–21 Sep 2012
Wan J, Le Royer C, Zaslavsky A, Cristoloveanu S (2012b) Z2-FET: a zero-slope switching
device with gate-controlled hysteresis. In: Proceedings of the technical program of 2012 VLSI
technology, system and application, Hsinchu, Taiwan, 23–25 April 2012
Wan J, Royer CL, Zaslavsky A, Cristoloveanu S (2013a) Progress in Z2-FET 1T-DRAM: retention
time, writing modes, selective array operation, and dual bit storage. Solid-State Electron 84:147–
154
Wan J, le Royer C, Zaslavsky A, Cristoloveanu S (2013b) Novel bipolar-enhanced tunneling FET
with simulated high on-current. Solid-State Electron 34:24–26
Wann HJ, Hu C (1993) A capacitorless DRAM cell on SOI substrate. In: Proceedings of the IEDM’93
technical digest international, Washington, DC, USA, 5–8 Dec 1993, IEEE, Piscataway, NJ, USA
Woo S, Cho J, Lim D, Cho K, Kim S (2019) Transposable 3T-SRAM synaptic array using indepen-
dent double-gate feedback field-effect transistors. IEEE Trans Electron Devices 66:4753–4758
Chapter 12
Resistive-Gate Field-Effect Transistor:
A Potential Steep-Slope Device
Abhinandan Jain, Lalit Kumar Lata, Neeraj Jain, and Praveen K. Jain
Introduction
In the current scenario, the major points to be noted in device fabrication are power
consumption and switching speed of the device. The low-power consumption devices
are suitable for battery-operated devices, preferably. The trade-off between switching
speed and power dissipation is one of the most crucial factors in semiconductor
devices (Tura and Woo 2010). The primary issue with vacuum tubes was their limited
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 291
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_12
292 A. Jain et al.
power dissipation, which has led to the development of power-efficient bipolar tran-
sistors for switching and amplification purposes. In the 1980s, field-effect transis-
tors (FETs) based on complementary metal oxide semiconductor (CMOS) were
replaced by bipolar transistors in response to the demands for greater power effi-
ciency (Alam et al. 2019). The consequences of operating at low voltages include
an increase in leakage current in CMOS devices. CMOS also encounters numerous
challenges, including issues related to power consumption, self-heating, and scal-
ability. Several devices, such as tunnel FETs, CNTFETs, nano-electro-mechanical
FETs (NEMFETs), spin FETs, phase FETs, and negative capacitance field-effect
transistors (NC-FETs), were investigated by researchers in the past. As per Moore’s
law, when the number of transistors on a chip doubles every two years, the perfor-
mance of the device increases tremendously, but the power dissipation of the chip
also increases (Pandey et al. 2016). In the electronics industry, maintaining the power
density of massive digital circuits is a critical challenge. Utilizing a transistor with
a steep slope or a low subthreshold swing is one strategy for reducing this power
density (Yuan et al. 2016). Theoretically, steep-slope devices may allow low-voltage
operation with acceptable leakage current by switching from the off to on state with
a smaller change in gate voltage (Gnani et al. 2016).
To maintain the performance of the device, the threshold voltage reduces propor-
tionally as the power supply scales down. This scaling of threshold voltage causes
a significant increase in static power dissipation because MOSFET’s swing is
constrained to 60 mV/dec below the threshold (Chang and Haensch 2012). Low-
power and high-performance systems cannot be realized because of the supply
voltage scaling restrictions (Pandey et al. 2016). The introduction of the NC-FET
further improved the subthreshold swing of MOSFET. A nanocrystalline ferroelec-
tric (FET) material is deposited as an intermediate layer between the dielectric and
gate materials. Making sure that the voltage inside the FE–oxide interface is higher
than the gate voltage in NC-FETs lowers the MOSFET’s subthreshold swing to less
than 60 mV/dec. Consequently, by achieving the on-current (Ion ) at a reduced supply
voltage (VDD ), the power consumption would be significantly diminished (Alam
et al. 2019). The NC-FET technology functions at a significantly low voltage due
to its steeper subthreshold oscillation; furthermore, it increases the on current while
keeping the off current constant (Huang et al. 2014). There are significant challenges
with the manufacturing process of NC-FET, such as integrating ferroelectric material
into the gate stack while maintaining high-quality silicon contact (Amrouch et al.
2018).
The power density has become a significant barrier to further MOSFET scaling.
The steeper subthreshold slope is an alternate method to design a transistor to over-
come the power density problem. One example of a device that uses the quick pull-in
and quick release of mechanical beams to get a subthreshold swing of less than 60 mV/
dec is the NEMFET (Ye et al. 2016). In the NEMFET, the gate is pushed closer to
the channel to boost the gate’s ability to modulate the density of the carriers in the
channel (Tura and Woo 2010).
Positive feedback FETs (FBFETs) offer very steep switching devices with
extremely low subthreshold swings of less than 1 mV/dec. The bulk-type FBFET
12 Resistive-Gate Field-Effect Transistor: A Potential Steep-Slope Device 293
typically uses the p-i-n structure to generate an S-shaped energy band structure as a
result of the positive feedback (Lee et al. 2019). For low-power and high-performance
computing systems, tunneling field-effect transistors (TFET) are regarded as a band-
to-band enabler (Pandey et al. 2016). The tunnel FET operates in two states: on and
off. In the on state, the gate voltage surpasses the threshold voltage, and conduction
takes place because a very tiny band gap exists between the channel and the source,
allowing considerable tunneling for the current to flow. In the off state, no tunneling
occurs and very little leakage current flows due to the large potential barrier between
the source and the channel (Woo et al. 2007).
Subthreshold swing value in tunnel FET is influenced by the thickness of the gate
oxide (t ox ), the thickness of the SOI layer (t SOI ), and the steepness of the source
doping profile. As the thicknesses of the gate oxide and SOI layers in tunnel FET are
reduced, it is noticed that the subthreshold swing value also decreases (Woo et al.
2007). The reduced subthreshold swing (S avg ) offers more steepness and reduces the
voltage supply without degrading the performance (Ionescu and Riel 2011).
VT − VGOFF VDD
Savg = IT
≈
log IOFF log IIOFF
ON
The gate structure of ReFET is different from the MOSFET gate structure. The
MOSFET gate terminal is made up of metal, while the ReFET gate structure is made
up of metal–insulator–metal layers, as shown in Fig. 12.1. The insulator material
is sandwiched between two metals and exhibits sudden resistance change behavior
between the LRS and HRS (Huang et al. 2014). To achieve an excellent ratio of on
current to off current, it is essential to minimize the leakage current of the HRS and
keep the resistance value of the LRS as low as possible. The performance of multilayer
294 A. Jain et al.
Here, γ ' is the maximum slope of the transfer characteristics in semilogarithm plot.
Table 12.1 compares different performance parameters of field-effect transistors.
Device Fabrication
dielectric layers. This offers a bidirectional integrated circuit voltage limit with a
limiting voltage that can be adjusted to almost any value that is likely to be present
on an integrated circuit. As demonstrated in Fig. 12.2, a ReFET can be fabricated
by using any practical method. For instance, direct diffusion or ion implantation can
be used to create source and drain regions, and sputtering can be used to deposit the
insulating and resistive layers. Postmetal annealing should be performed to lessen
the interface traps between layers and control the quantity and distribution of oxygen
vacancies.
As seen in Fig. 12.3, Hsieh et al. (2021) demonstrated a resistive-gate field-effect
transistor based on HfO2 /HfON. Here, titanium nitride (TiN) and silicon dioxide
(SiO2 ) were first deposited on a p-type silicon wafer using physical vapor deposition
(PVD), with TiN acting as the bottom electrode of the RRAM MDM. SiO2 was used
to provide isolation. The bottom electrode pattern was defined by lithography, and the
TiN sidewall was shaped by an anisotropic dry etch. Next, atomic layer deposition
(ALD) was used to put thin layers of HfO2 and HfOx doped with nitrogen ions on the
sidewall of the TiN. The titanium (Ti) on the dielectric layers was PVD-capped, and a
PVD TiN top electrode was deposited later. Then, lithography was used to create the
contact windows for the top and bottom electrodes. With a gate stack made up of Pt/
TiN/TaOx /Poly-Si/SiO2 , Huang et al. (2014) created an n-type ReFET device. Here,
n-type ReFETs based on a gate stack of TiN/TaOx /Poly-Si were developed. TaOx
was sputtered from a Ta target using an RF reactive magnetron in an atmosphere that
296 A. Jain et al.
contained a mixture of oxygen and argon. A later annealing procedure was used to
boost the oxygen content of the TaOx film. On gate oxide, a stack of Pt/TiN/TaOx /
Poly-Si was eventually deposited.
TCAD Modeling
as Tony Plot, Deck Construct, and Tony Plot 3D are also used. The device simula-
tion using these TCAD tools should specify the physical compositions and physical
models that must be solved under given electrical bias conditions. Figure 12.4 depicts
the Silvaco ATLAS flowchart.
Memory Operation
charge at the floating gate of the transistor, respectively. By scaling down dynamic
RAM, static RAM, and flash memory to 10 nm nodes or beyond, their performance,
reliability, and noise margin degrade (Zahoor et al. 2020). The memories may be
separated based on the change in resistance memory type. Memory technologies
such as phase-change memories (PCM), resistive random-access memory (RRAM),
magnetic random-access memory (MRAM), and spin-transfer torque random-access
memory (STT-RAM) are gaining significant attention because of their high speed,
high density, and nonvolatility.
12 Resistive-Gate Field-Effect Transistor: A Potential Steep-Slope Device 299
two terminals. Between two metal electrodes is a dielectric layer whose resistance
may be altered reversibly between two or more states using external electrical inputs.
(Ielmini et al. 2021). The I-V hysteresis of RRAM devices fluctuates between LRSs
and HRSs and vice versa.
Anions or cations may induce the electrochemical mechanism that modifies
conduction. The formation of low-resistance conductance channels through the
migration of oxygen vacancies is what distinguishes RRAMs of the anion type. The
recombination of oxygen ions with vacancies can convert a low-resistance state to a
high-resistance state when an electric field is applied in the opposite direction (Jain
et al. 2022). The device undergoes a reduction in resistance (LRS) from its initial
state of high resistance (HRS) due to the migration of oxygen vacancies within the
metal oxide layer. This voltage is referred to as the set voltage. Owing to the recovery
of oxygen vacancies, the device switches from LRS to HRS; this voltage is referred
to as the reset voltage as shown in Fig. 12.8.
In RRAM, two switching modes exist: (i) unipolar switching mode and (ii) bipolar
switching mode. In the unipolar switching mode, the applied voltage amplitude rather
than its applied voltage determines the switching direction. Hence, set/reset is feasible
with the same polarity (Jain et al. 2022). In general, bipolar switching implies a lower
reset current than unipolar switching. Bipolar switching shows better device perfor-
mance in comparison with unipolar switching (Ye et al. 2016). In a bipolar switching
mode, the polarity of the applied voltage determines the switching direction. The set
and reset polarities are opposite to each other (Wong et al. 2012). The development of
RRAM has produced some serious concerns, such as the uncertain physics of resis-
tive switching in oxides, very poor uniformity, and significant switching parameter
variation. The RRAM’s performance may degrade as a result of switching events
between HRS and LRS, which might result in long-term damage.
12 Resistive-Gate Field-Effect Transistor: A Potential Steep-Slope Device 301
The human brain is one of the most complex objects of the human body and works
as central processing for human beings. It is highly efficient in performing cognitive
tasks such as the abstraction of information, recognition, processing, and decision-
making. It solves many complicated problems or tasks. The brain analyzes informa-
tion received from peripheral nerves located throughout the body before the comple-
tion of activities. Any word-related activity requires the human brain to engage in
memorization, recognition, processing, and decision-making. Making a hardware-
based artificial neural network that works like the human brain is the best way to
do neuromorphic computation that uses little energy and does not cost loads of
money. Neurons and synapses are the basic building blocks of a hardware-based arti-
ficial neural network. The electronic synapses’ performance and architecture largely
dictate the area, power, and computing efficiency of a brain-inspired chip (Gao et al.
2016).
RRAM has nonvolatile memory, a lower cost, a greater density, and is much
quicker than Flash memory, static RAM, and DRAM. Owing to the RRAM’s great
density and speed, it is employed for brain computing applications (Zidan et al.
2018). RRAM is used in brain computing applications to increase durability and
enhance selector performance to reduce sneak currents by decreasing programming
current and voltage (Zidan et al. 2018).
A hardware-based artificial neural network modeled after the human brain’s
fundamental structure consists of numerous neurons and synapses. The structure
and performance of the electrical synapses on a brain-inspired chip affect many
parameters, including its area, power, and computational efficiency (Gao et al. 2016).
Memristors naturally meet the above requirements because they are an electrically
tunable conductance connection between a presynaptic neuron (PRE) and a postsy-
naptic neuron (POST) that can sense the pulses sent by both neurons (Wang et al.
2015). Most memristive synapses work by moving metal or oxygen ions around,
which makes conducting filaments form or break apart randomly at the nanoscale.
Significant variations in performance between devices and cycles are an unavoid-
able consequence, posing a substantial barrier to the implementation of artificial
neural networks on a large scale (Wang and Zhuge 2019). Metal oxide RRAM-based
synapses have been reported to execute a variety of synaptic tasks that are useful for
creating high-performance brain-inspired chips.
Although synaptic connections’ conductivity and modulation govern the commu-
nication channels in the brain, neurons still produce spikes as indicators of infor-
mation processing in the brain. There are synapses between the receptor neurons’
dendritic terminals and the transmitter neurons’ axons. By releasing neurotransmit-
ters, these junctions regulate the transmission of messages between neurons (Tura
and Woo 2010). This feature is reproduced substantially in synthetic neural models:
Neurotransmitters connect to the postsynaptic neuron, enabling ionic current to
pass into the downstream cell. A neuromorphic computing system’s efficiency and
processing capability are proportional to the number of resistance levels.
302 A. Jain et al.
RRAM synapse limitations in the integrated circuit industry include the difficulty
of performing multilayer switching control, improving retention and uniformity,
and reducing power consumption (Gao et al. 2016). One of the most significant
challenges lies in the inadequate hardware required to implement synapses, which
are the fundamental components responsible for processing data in artificial brains.
Parameter Application
Memories Atomic switches use a different type of nonvolatile and
volatile, multistate memory
For writing and erasing, atomic switches need bipolar bias
voltage (Hino et al. 2011)
Logic devices Logic circuits, such as logic gates, may be designed using
two terminal atomic switches (Hino et al. 2011)
Theoretically, all logic circuits might be designed using
atomic switches, without resorting to semiconductor
transistors (Hino et al. 2011)
Programmable switches Field-programmable gate arrays use atomic switches as
programmable switches or on or off switches (Hino et al.
2011)
Neuromorphic synaptic functions Use in a neuromorphic synaptic functions
Conclusion
References
Alam MA, Si M, Ye PD (2019) A critical review of recent progress on negative capacitance field-
effect transistors. Appl Phys Lett 114(9):090401
304 A. Jain et al.
Amrouch H, Pahwa G, Gaidhane AD, Henkel J, Chauhan YS (2018) Negative capacitance transistor
to address the fundamental limitations in technology scaling: processor performance. IEEE
Access 6:52754–52765
Aono M, Hasegawa T (2010) The atomic switch. Proc IEEE Inst Electr Electron Eng 98(12):2228–
2236
Bhushan B, Nayak K, Rao V (2012) DC compact model for SOI tunnel field-effect transistors. IEEE
Trans Electron Dev 59(10)
Chang L, Haensch W (2012) Near-threshold operation for power-efficient computing? It depends.
In: Proceedings of IEEE design automation conference (DAC), pp 1155–1159
Gao B, Kang J, Zhou Z, Chen Z, Huang P, Liu L, Liu X (2016) Metal oxide resistive random access
memory based synaptic devices for brain-inspired computing. Jpn J Appl Phys 55(4S):04EA06
Gnani E, Baravelli E, Maiorano P, Gnudi A, Reggiani S, Baccarani G (2016) Steep-slope devices:
prospects and challenges. J Nano Res 39
Guo J et al (2020) Highly reliable low-voltage memristive switching and artificial synapse enabled
by van der Waals integration. Matter 2(4):965–976
Hino T et al (2011) Atomic switches: atomic-movement-controlled nanodevices for new types of
computing. Sci Technol Adv Mater 12(1):013003
Hiroshi Kubota T, Hasegawa M, Akai-Kasaya T (2022) Behavioral model of molecular gap-type
atomic switches and its SPICE integration, circuits and systems, vol 13
Hsieh ER, Chen KT, Chen PY, Wong SS, Chung SS (2021) A FORMing-free HfO2 -/HfON-based
resistive-gate metal–oxide–semiconductor field-effect-transistor (RG-MOSFET) nonvolatile
memory with 3-bit-per-cell storage capability. IEEE Trans Electron Dev 68(6):2699–2704
Huang Q, Huang R, Pan Y, Tan S, Wang Y (2013) A novel resistive-gate field-effect transistor with
24.7mV/dec subthreshold slope based on a resistive TiN/TaOx/poly-Si gate stack. ISDRS, pp
11–13
Huang Q, Huang R, Pan Y, Tan S, Wang Y (2014) IEEE resistive-gate field-effect transistor: a novel
steep-slope device based on a metal-insulator-metal-oxide gate stack. IEEE Electron Dev Lett
35(8)
Huang Q, Wang Z, Pan Y, Wang Y, Huang R (2016) Resistive-gate field-effect transistor exhibiting
steep subthreshold slope of SmV/dec and high ION/1oFF ratio. In: 13th IEEE international
conference on solid-state and integrated circuit technology
Ielmini D, Wang Z, Liu Y (2021) Brain-inspired computing via memory device physics. APL Mater
9(5):050702
Ionescu AM, Riel H (2011) Tunnel field-effect transistors as energy-efficient electronic switches.
Nature 479:329–337
Jain N, Sharma SK, Kumawat R, Jain PK, Kumar D, Vyas R (2022) Resistive switching, endurance
and retention properties of ZnO/HfO2 bilayer heterostructure memory device. Micro Nanostruct
169(207366):207366
Jain N, Kumawat R, Sharma SK (2023) Resistive random access memory: materials, filament mech-
anism, performance parameters and application. In: Dwivedi S, Singh S, Tiwari M, Shrivastava
A (eds) Flexible electronics for electric vehicles, vol 863. Springer, Singapore
Kashirskaya ON (2015) Sentaurus TCAD for modeling of the elements of the matrix photodetectors
on organic compounds. In: 2015 16th international conference of young specialists on micro/
nanotechnologies and electron devices
Lata LK, Jain PK, Jain A, Bhatia D (2022) An overview of current trends in Hafnium oxide based
resistive memory devices. In: Nanotechnology device design and application, CRC Press
Lee C, Ko E, Shin C (2019) Steep slope silicon-on-insulator feedback field-effect transistor: design
and performance analysis. IEEE Trans Electron Dev 66(1):286–291
Lee XSH, Zhu WD (2020) Nanoscale resistive switching devices for memory and computing
applications. Nano Res 13:1228–1243
Meena JS, Sze SM, Chand U, Tseng T-Y (2014) Overview of emerging nonvolatile memory
technologies. Nanoscale Res Lett 9(1):526
12 Resistive-Gate Field-Effect Transistor: A Potential Steep-Slope Device 305
Pandey R, Mookerjea S, Datta S (2016) Opportunities and challenges of tunnel FETs. In: IEEE
transactions on circuits and systems-I
SILVACO-TCAD (2019) ATLAS user’s manual: device simulation software, SILVACO Interna-
tional, California
Tura A, Woo JCS (2010) Performance comparison of silicon steep subthreshold FETs. IEEE Trans
Electron Devices 57(6):1362–1368
Wang J, Zhuge F (2019) Memristive synapses for brain-inspired computing. Adv Mater Technol
4(3)
Wang Z, Ambrogio S, Balatti S, Ielmini D (2015) A 2-transistor/1-resistor artificial synapse capable
of communication and stochastic learning in neuromorphic systems. Front Neurosci
Wang Y et al (2020) Record-low subthreshold-swing negative-capacitance 2D field-effect transis-
tors. Adv Mater
Wong H-SP et al (2012) Metal–oxide RRAM. Proc IEEE Inst Electr Electron Eng 100(6):1951–1970
Woo Y, Choi B-G, Park JD, Lee T-JK (2007) Tunneling field-effect transistors (TFETs) with
subthreshold swing (SS) less than 60 mV/dec. IEEE Electron Dev Lett 28(8)
Ye C et al (2016) Physical mechanism and performance factors of metal oxide based resistive
switching memory: a review. J Mater Sci Technol 32(1):1–11
Yuan ZC et al (2016) Switching-speed limitations of ferroelectric negative-capacitance FETs. IEEE
Trans Electron Devices 63(10):4046–4052
Zahoor F, Azni Zulkifli TZ, Khanday FA (2020) Resistive random access memory (RRAM):
an overview of materials, switching mechanism, performance, multilevel cell (mlc) storage,
modeling, and applications. Nanoscale Res Lett 15(1):90
Zidan MA, Strachan JP, Lu WD (2018) The future of electronics based on memristive systems. Nat
Electron 1(1):22–29
Chapter 13
Spin Field-Effect Transistor: For Steep
Switching Behavior
Introduction
© The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd. 2024 307
S. Singh et al. (eds.), Beyond Si-Based CMOS Devices, Springer Tracts in Electrical and
Electronics Engineering, https://doi.org/10.1007/978-981-97-4623-1_13
308 K. Srinivasa Rao et al.
Pramanik et al. 2005; Osintsev et al. 2011a). For decades, electron charge manip-
ulation via field-effect transistors (FETs) has been essential to modern electronics,
serving as a foundational component of these devices (Osintsev et al. 2011b; Sverdlov
et al. 2015; Sverdlov and Selberherr 2015; Gao et al. 2010). An ever-growing need for
transistors that can switch quickly and use minimal power exists due to the shrinking
size and increasing complexity of electronic devices (Pramanik et al. 2003; Sugahara
et al. 2016; Bandyopadhyay and Cahay 2013; Sverdlov and Selberherr 2023). The
Spin-FET brings a groundbreaking perspective by making use of the natural angular
momentum of electrons, which we call “spin,” in addition to their electric charge
(Osintsev et al. 2012; Boudine et al. 2015; Liu et al. 2007; Tang et al. 2015). Unlike
regular FETs, which depend solely on the flow of charge carriers through a semicon-
ductor channel, the Spin-FET operates by leveraging the direction of electron spins as
its main control mechanism. This remarkable characteristic allows for exceptionally
sharp and rapid switching behavior. As such, it exhibits considerable promise for a
wide range of applications, such as quantum computing, ultra-fast data storage, and
high-frequency signal processing (Davidson 2007; Zografos et al. 2019; Zhu et al.
2021; Chappert and Barthélémy 2007).
We have thoroughly explored the creation of the spin field-effect transistor
(Spin-FET) and the intriguing field of spin-based electronics in this chapter (Wang
et al. 2018; Johnson 2003; Salis 2013; Mohota and Nemade 2016). We started
our adventure by delving deeply into the fundamentals of spin, covering ideas like
angular momentum and ferromagnetism. We next delved into the intricate dynamics
governing magnetization, which laid the foundation for comprehending the signif-
icance of anisotropy in spin-related phenomena as well as the Landau–Lifshitz–
Gilbert equation. Next, we discussed the idea of spin-transfer torque and its impor-
tance in the context of spin-based devices (Johnson et al. 2010). When we moved
to the field of semiconductors, we discovered the fundamentals of spin transport,
the effective spin–orbit Hamiltonian, and the fascinating spin–orbit interaction that
takes place in the semiconductor channel. Additionally, we investigated the important
subject of spin relaxation, focusing specifically on D’yakonov–Perel’ spin relaxation.
We began by introducing the Spin-FET and going over some of its basic ideas, such
as the Datta–Das Spin-FET model, nanomagnetic logic, tunnel magneto resistance,
and several spin-based logic ideas, such as the innovative idea of All-Spin Logic.
In summary, we presented the most recent developments in spin-based electronics,
such as the creation of the two-dimensional Spin-FET transistor and the novel spin
field-effect transistor with a graphene channel, to wrap up our investigation.
These astounding developments highlight how spin-based technology has the
power to fundamentally alter the field of contemporary electronics.
13 Spin Field-Effect Transistor: For Steep Switching Behavior 309
Spin Basics
Spin-FET uses a spin-polarized source (or) gate to control the flow of electrons
instead of external fields. In this transistor, the key idea is to manipulate the spin of
electrons in a way that enables efficient and precise control of current flow.
Working:
Spin Injection: To initiate the current flow, spin-polarized electrons are injected into
the device (mainly source). Spin-polarized electrons are electrons that are already in
a specific spin state.
Spin Manipulation: In this device, the spins are manipulated using spin–orbit
coupling (or) magnetic fields. Spin orbital coupling arises when interaction between
electron spin and orbital motion occurs. These manipulations are used to control the
direction and magnitude of current in the spin field-effect transistor.
Current Control: The Spin-FET can regulate the passage of electrical current by
manipulating the spins of electrons in the channel through the use of the gate terminal
and magnetic fields. Depending on the spin orientation and the applied gate voltage,
it can either permit electrons with specific spins to travel from the source to the drain
or prevent their passage.
Spin Detection: At the output of the device, a spin-sensitive detector is used to
measure the spin of the electrons. These measurements provide the information
about the current flow.
Angular momentum:
In classical mechanics, angular momentum is a vector quantity that describes the
rotational motion of an object. It depends on two factors: the object’s moment of
inertia (a measure of how mass is distributed relative to the axis of rotation) and its
angular velocity (the rate of change of the angular position). In quantum mechanics,
angular momentum takes on a different form due to the wave–particle duality of
matter. In addition to the orbital angular momentum associated with the motion of
particles around an atomic nucleus, there exists another form of angular momentum
called “intrinsic angular momentum” or simply “spin.”
Ferromagnetism:
Ferromagnetism in spin field-effect transistors (SpinFETs) is a fascinating
phenomenon that utilizes the inherent spin properties of electrons to drive advance-
ments in data storage and processing technologies. Within a SpinFET, the magnetic
orientations of electron spins play a critical role in determining the device’s behavior.
310 K. Srinivasa Rao et al.
Anisotropy
Spin-Transfer Torque
Spin Transport
In particular, atomic and molecular physics rely heavily on this spin–orbit Hamil-
tonian. It is responsible for capturing the fine linkage of an electron’s inherent spin
angular momentum and its orbital spin, in which the latter originates from the effects
of relativity attached to the motion of the former and its electric charges interac-
tions. It is possible to use the most effective spin–orbit Hamiltonian in order to provide
a reasonable model of this phenomenon. This Hamiltonian has several advantages
such as the ability to determine the atomic/molecular energies and properties.
The effective spin–orbit Hamiltonian can be expressed as follows:
H_SO = ξ (L · S)
Here:
314 K. Srinivasa Rao et al.
Spin-FET
of material. Engineers and physicists use these spin states in the world of Spin-
FETs creating a way for better performing and efficient devices. This is just like
harnessing the direction in which tiny compass needles orient their needle ends to
control electron movements and that is an entirely new concept in the electronics
field.
Basically, Spin-FET is based on the notion that instead of charge, one can use
spin of electrons of semiconductor channel to control electric currents. It shares
the traditional FETs structuring scheme—source, channel, and drain—although the
operation principle is very different. Hence, in an ordinary FET, you regulate the
current by varying the charge on a gate electrode. However, a SpinFET proceeds in
another way. Unlike conventional field-effective devices, it employs a combination
of electric and magnetic fields to control spin orientation of electrons within the
channel. This ingenious use of magnetic influences introduces a novel dimension
to semiconductor device functionality. By manipulating electron spin in addition
to charge, Spin-FETs offer the potential for advanced functionalities in electronics,
including enhanced data processing and energy efficiency, paving the way for cutting-
edge applications in various technological domains.
The foremost benefit offered by Spin-FETs lies in their potential for non-volatile
operation with extremely low power consumption. These devices hold great promise
for various applications such as spin-based logic devices, magnetic memory solu-
tions, and the domain of quantum computing. It is important to note that spintronics
technologies are currently in a phase of active research and development. Practical
applications are continually unfolding as scientists and engineers look into inno-
vative approaches to leverage the distinctive characteristics of electron spin within
Spin-FETs and other spintronic devices.
To understand the Spin-FET, let us break down the key components:
Electron Spin: Electron has two properties, namely charge and spin. Spin is a
quantum mechanical property which is also said to be the angular momentum of
the electron. Electron has two types of spin up and down. This corresponds to a
magnetic moment aligned in a specific direction.
Spintronics: Spintronics is short form of spin transport electronics. This is a field
within electronics that seeks to harness both the charge and spin properties of elec-
trons for a range of applications. It distinguishes itself from conventional electronics,
which exclusively depend on devices driven by electrical charge.
Field-Effect Transistor (FET): A standard field-effect transistor (FET) is a semi-
conductor component that manages the passage of electric current through the manip-
ulation of an external electric field. It comprises three key terminals: source, drain,
and gate. The application of voltage to the gate terminal enables you to regulate the
current flow between the source and drain terminals.
Advantages:
• Low power consumption
• Data storage capability.
13 Spin Field-Effect Transistor: For Steep Switching Behavior 317
Challenges:
• Difficult to fabricate
• Spin coherence.
Types of Spin-FETs:
1. Datta–Das Spin-FET
2. Graphene-based Spin-FET.
Semiconductor heterostructures.
Nanomagnetic Logic
Tunnel Magnetoresistance
magnetic tunnel junction (MTJ), even though that barrier material usually prevents
such movement. Whether tunneling happens or not depends on the energy levels of
the electrons and how their spins are aligned in the magnetic layers.
Tunneling Current: When the magnetizations of the two ferromagnetic layers are
parallel (meaning their magnetic moments are aligned in the same direction), there is a
greater likelihood of electrons tunneling through the barrier. This results in a decrease
in the electrical resistance of the MTJ. Conversely, when the magnetizations are
antiparallel (with magnetic moments aligned in opposite directions), the probability
of tunneling decreases, leading to an increase in electrical resistance.
Resistance Change: The ratio of resistance between the parallel and antiparallel
configurations is referred to as the TMR ratio. This ratio can be quite substantial, often
surpassing 100% or more. This high TMR ratio renders TMR devices exceptionally
sensitive to alterations in the relative magnetic orientation of the layers.
Tunnel magnetoresistance plays a pivotal role in advancing various technologies
reliant on magnetic properties, offering fresh possibilities for data storage and sensing
applications.
All-Spin Logic
positions it ideally for quantum computing qubits, which also hinge on the princi-
ples of quantum spin states. Additionally, the neuromorphic computing paradigm,
inspired by the human brain, can harness the energy efficiency and parallel processing
capabilities that All-Spin Logic offers, paving the way for more efficient applications
in artificial intelligence and cognitive computing.
Let us delve into the world of a hypothetical electronic device known as a graphene-
based spin field-effect transistor (Spin-FET). This device makes clever use of
graphene, a single layer of carbon atoms arranged in a hexagonal lattice, which
possesses exceptional electronic properties, making it an exciting material for various
nanoelectronics and spintronics applications.
In a graphene-based Spin-FET, graphene plays a pivotal role as the semicon-
ductor channel, allowing electrons to move. Here is why graphene is a great fit for
spintronics:
High Electron Mobility: Graphene lets electrons zip around at incredibly high
speeds, making it perfect for high-speed electronic devices.
Adjustable Electronic Properties: You can tweak graphene’s electronic character-
istics by applying an electric field or altering its shape, giving you versatile control
over its conductivity.
Spin Transport: Graphene has shown remarkable ability in transporting electron
spins across significant distances, which makes it a strong contender for spintronic
applications.
Spin Injection: To start, spin-polarized electrons are sent into the graphene channel
from a ferromagnetic source contact. These electrons mostly have their spins aligned
in a specific direction, like “up” or “down.”
Spin Manipulation: Inside the graphene channel, various methods can be employed
to change the orientation of electron spins. This manipulation can involve spin–orbit
interactions, proximity to magnetic materials, or applying external magnetic fields.
Current Control: By manipulating electron spins within the graphene channel using
gate voltages, magnetic fields, or other techniques, the device can selectively allow
or block the flow of electrical current. It is like having a precise control knob for the
flow of electrons, thanks to the unique properties of graphene and the principles of
spin-dependent transport. This opens up exciting possibilities for advanced electronic
applications.
Spin Detection: Spin-sensitive detectors are placed at the drain end and they
provide information about the spin of electrons passing through the graphene
channel. However, it provides much useful background as regards the spin char-
acteristics that are innate in this moment.
324 K. Srinivasa Rao et al.
Conclusions
References
Ahmad Malik GF, Kharadi M, Khanday F, Parveen N (2020) Spin field effect transistors and
their applications: a survey. Microelectron J 106:104924. https://doi.org/10.1016/j.mejo.2020.
104924
Bandyopadhyay S, Cahay M (2013) General principles of spin transistors and spin logic devices.
In: Xu Y, Awschalom D, Nitta J (eds) Handbook of spintronics. Springer, Dordrecht. https://doi.
org/10.1007/978-94-007-7604-3_43-1a
Boudine A, Kalla L, Benhizia K, Zaabat M, Benaboud A (2015) Two-dimensional spin-FET tran-
sistor. In: Fesenko O, Yatsenko L (eds) Nanocomposites, nanophotonics, nanobiotechnology,
and applications. Springer proceedings in physics, vol 156. Springer, Cham. https://doi.org/10.
1007/978-3-319-06611-0_27
Cahay M, Bandyopadhyay S (2003) Effect of impurities in the channel of a spin field effect transistor
(SPINFET). In: 2003 Third IEEE conference on nanotechnology, 2003, vol 2. IEEE-NANO
2003, San Francisco, CA, USA, pp 171–174. https://doi.org/10.1109/NANO.2003.1231743
Chappert C, Barthélémy AA (2007) Nanomagnetism and spin electronics. In: Dupas C, Houdy
P, Lahmani M (eds) Nanoscience. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-
540-28617-2_14
Davidson BA (2007) Fundamentals of nanostructured magnetic materials for spintronic devices.
Riv Nuovo Cim 30:159–195. https://doi.org/10.1393/ncr/i2007-10019-5
13 Spin Field-Effect Transistor: For Steep Switching Behavior 325
Gao Y, Augustine C, Nikonov DE, Roy K, Lundstrom MS (2010) Realistic spin-FET performance
assessment for reconfigurable logic circuits. In: 2010 symposium on VLSI technology, Honolulu,
HI, USA, pp 117–118.https://doi.org/10.1109/VLSIT.2010.5556193
Johnson M (2003) Spintronics, and electrical spin injection in a two dimensional electron gas. In:
Proceedings international conference on MEMS, NANO and smart systems, Banff, AB, Canada,
pp 234–239. https://doi.org/10.1109/ICMENS.2003.1221998
Johnson M, Koo HC, Eom J, Han SH, Chang J (2010) Electric field control of spin precession in a
spin-injected field effect transistor. In: 68th device research conference, Notre Dame, IN, USA,
pp 33–34. https://doi.org/10.1109/DRC.2010.5551952
Liu J et al (2007) Simulation of spin transport properties in Schottky barrier FET using Monte Carlo
method. In: Grasser T, Selberherr S (eds) Simulation of semiconductor processes and devices
2007. Springer, Vienna. https://doi.org/10.1007/978-3-211-72861-1_60
Liu J et al (2008) Self-consistent simulation of Schottky Barrier SpinFET. In: 2008 international
conference on simulation of semiconductor processes and devices, Hakone, pp 85–88. https://
doi.org/10.1109/SISPAD.2008.4648243
Mohota T, Nemade K (2016) Integration of bimetallic Co–Ni thick film-based devices for spin-
tronics. IEEE Trans Electron Devices 63(10):4127–4130. https://doi.org/10.1109/TED.2016.
2596979
Osintsev D, Sverdlov V, Makarov A, Selberherr S (2011a)Properties of InAs- and silicon-based
ballistic spin field-effect transistors operated at elevated temperature. In: 2011 international
semiconductor device research symposium (ISDRS), College Park, MD, USA, pp 1–2.https://
doi.org/10.1109/ISDRS.2011.6135414
Osintsev D, Sverdlov V, Stanojević Z, Makarov A, Selberherr S (2011b)Transport properties of
spin field-effect transistors built on Si and InAs. In: Ulis 2011 ultimate integration on silicon,
Cork, Ireland, pp 1–4.https://doi.org/10.1109/ULIS.2011.5757998
Osintsev D, Makarov A, Sverdlov V, Selberherr S (2012) Efficient simulations of the transport prop-
erties of spin field-effect transistors built on silicon fins. In: Lirkov I, Margenov S, Waśniewski
J (eds) Large-scale scientific computing. LSSC 2011. Lecture notes in computer science, vol
7116. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-29843-1_72
Pramanik S, Bandyopadhyay S, Cahay M (2003) Spin transport in nanowires. In: 2003 third IEEE
conference on nanotechnology, vol 2. IEEE-NANO 2003, San Francisco, CA, USA, pp 87–90.
https://doi.org/10.1109/NANO.2003.1231721
Pramanik S, Bandyopadhyay S, Cahay M (2005) Spin relaxation in the channel of a spin field-effect
transistor. IEEE Trans Nanotechnol 4(1):2–7. https://doi.org/10.1109/TNANO.2004.840140
Salis G (2013) Semiconductor spintronics: switching spins at low voltage. In: International sympo-
sium on low power electronics and design (ISLPED), Beijing, China, pp 143–143. https://doi.
org/10.1109/ISLPED.2013.6629283
Sugahara S, Takamura Y, Shuto Y, Yamamoto S (2016) Field-effect spin-transistors. In: Xu Y,
Awschalom D, Nitta J (eds) Handbook of spintronics. Springer, Dordrecht. https://doi.org/10.
1007/978-94-007-6892-5_44
Sverdlov V, Selberherr S (2015)Spin-based devices for future microelectronics. In: 2015 interna-
tional symposium on next-generation electronics (ISNE), Taipei, Taiwan, pp 1–4.https://doi.org/
10.1109/ISNE.2015.7132030
Sverdlov V, Selberherr S (2023) Spin-based devices for digital applications. In: Rudan M, Brunetti
R, Reggiani S (eds) Springer handbook of semiconductor devices. Springer handbooks. Springer,
Cham. https://doi.org/10.1007/978-3-030-79827-7_31
Sverdlov V et al (2014) Modeling of spin-based silicon technology. In: 2014 15th international
conference on ultimate integration on silicon (ULIS), Stockholm, Sweden, pp 1–4. https://doi.
org/10.1109/ULIS.2014.6813891
Sverdlov V, Ghosh J, Makarov A, Windbacher T, Selberherr S (2015)CMOS-compatible spintronic
devices. In: 2015 30th symposium on microelectronics technology and devices (SBMicro),
Salvador, Brazil, pp 1–4.https://doi.org/10.1109/SBMicro.2015.7298103
326 K. Srinivasa Rao et al.
Tang J, Shao Q, Upadhyaya P, Amiri PK, Wang KL (2015) Electric control of magnetic devices
for spintronic computing. In: Zhao W, Prenat G (eds) Spintronics-based computing. Springer,
Cham. https://doi.org/10.1007/978-3-319-15180-9_2
Wang G, Wang Z, Klein J-O, Zhao W (2017) Modeling for spin-FET and design of spin-FET-based
logic gates. In: IEEE transactions on magnetics, vol 53, no 11, pp 1–6, Art no. 1600106. https://
doi.org/10.1109/TMAG.2017.2704881
Wang G, Wang Z, Lin X, Klein J-O, Zhao W (2018) Proposal for multi-gate spin field-effect
transistor. In: IEEE transactions on magnetics, vol 54, no 11, pp 1–5, Art no. 1600205. https://
doi.org/10.1109/TMAG.2018.2831696
Zhu J, Chen X, Shang W et al (2021) Van der Waals contact between 2D magnetic VSe2 and
transition metals and demonstration of high-performance spin-field-effect transistors. Sci China
Mater 64:2786–2794. https://doi.org/10.1007/s40843-021-1657-9
Zografos O, Vaysset A, Sorée B, Raghavan P (2019) Spin-based majority computation. In: Topaloglu
R, Wong HS (eds) Beyond-CMOS technologies for next generation computer design. Springer,
Cham. https://doi.org/10.1007/978-3-319-90385-9_7