Advanced Silicon MOSFET RF Review
Advanced Silicon MOSFET RF Review
- Topical Review
Douglas J Paul
To cite this article: Vikram Passi and Jean-Pierre Raskin 2017 Semicond. Sci. Technol. 32 123004
- Comparative analysis of nanoscale MOS
device architectures for RF applications
Abhinav Kranti and G Alastair Armstrong
Topical Review
E-mail: [email protected]
Abstract
Aggressive gate-length downscaling of the metal-oxide-semiconductor field-effect transistor
(MOSFET) has been the main stimulus for the growth of the integrated circuit industry. This
downscaling, which has proved beneficial to digital circuits, is primarily the result of the need for
improved circuit performance and cost reduction and has resulted in tremendous reduction of the
carrier transit time across the channel, thereby resulting in very high cut-off frequencies. It is
only in recent decades that complementary metal-oxide-semiconductor (CMOS) field-effect
transistor (FET) has been considered as the radio frequency (RF) technology of choice. In this
review, the status of the digital, analog and RF figures of merit (FoM) of silicon-based FETs is
presented. State-of-the-art devices with very good performance showing low values of drain-
induced barrier lowering, sub-threshold swing, high values of gate transconductance, Early
voltage, cut-off frequencies, and low minimum noise figure, and good low-frequency noise
characteristic values are reported. The dependence of these FoM on the device gate length is also
shown, helping the readers to understand the trends and challenges faced by shorter CMOS
nodes. Device performance boosters including silicon-on-insulator substrates, multiple-gate
architectures, strain engineering, ultra-thin body and buried-oxide and also III-V and 2D
materials are discussed, highlighting the transistor characteristics that are influenced by these
boosters. A brief comparison of the two main contenders in continuing Moore’s law, ultra-thin
body buried-oxide and fin field-effect transistors are also presented. The authors would like to
mention that despite extensive research carried out in the semiconductor industry, silicon-based
MOSFET will continue to be the driving force in the foreseeable future.
Keywords: analog and radio-frequency figures of merit, digital figures of merit, multiple gate
field effect transistor, ultra-thin body buried-oxide, III-V and two-dimensional channel field
effect transistor
(Some figures may appear in colour only in the online journal)
1. Introduction
2
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
3
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
Figure 3. DIBL variation with gate length for (a) n-channel and (b) p-channel MOSFETs, respectively. Black square symbols are values for
planar devices on bulk or SOI substrates, green diamond symbols are values for non-planar devices on bulk or SOI substrates, blue triangle
symbols are devices based on high-k dielectric and metal gates, and red circles represent values of devices fabricated using strain/stressor
technologies, respectively.
Figure 4. Sub-threshold swing variation with gate length for (a) n-channel and (b) p-channel MOSFET, respectively. Black square symbols
are values for planar devices on bulk or SOI substrates, green diamond symbols are values for non-planar devices on bulk or SOI substrates,
blue triangle symbols are devices based on high-k dielectric and metal gates, and red circles represent values of devices fabricated using
strain/stressor technologies, respectively.
graphs. The values beyond that arbitrary limit indicate that given by
either the device architecture or the fabrication process or
dVG
both were not adequately optimized. S= (2 )
d log (ID )
4
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
Figure 5. Transconductance variation with gate length for (a) n-channel and (b) p-channel MOSFET, respectively. Black square symbols are
values for planar devices on bulk or SOI substrates, green diamond symbols are values for non-planar devices on bulk or SOI substrates, blue
triangle symbols are devices based on high-k dielectric and metal gates, and red circles represent values of devices fabricated using strain/
stressor technologies, respectively.
around 80 mV/dec [7]. The smaller the value, the more considered as strategic technologies to boost transistor
efficient and rapid is the switching of the device. performances.
Figure 4 shows the variation of sub-threshold swing
versus gate length for n- and p-channel MOSFETs, respec-
4. Analog FOM
tively. A dashed line drawn at 60 mV/dec represents the
theoretical value of the minimum sub-threshold swing for
For analog applications, MOSFET working usually in the
silicon MOSFETs at room temperature. For the shortest gate
saturation region is considered. The drain current versus gate
length of 10 nm, a sub-threshold swing value of 67 mV/dec is
voltage characteristics are measured at various drain voltages
obtained for an n-channel MOSFET [31] and a value of
from linear to saturation regime, followed by the drain current
63 mV/dec is obtained for a p-channel MOSFET with a gate
versus drain voltage characteristics, which are measured at
length of 5 nm [23].
varying gate voltages to cover all the possible operation
The devices which exhibit such low values of sub-
regimes i.e. weak, moderate and strong inversion.
threshold swing are ultra-thin body devices fabricated on SOI
substrate incorporating strain engineering in the form of
4.1. Gate transconductance
strained SOI substrate together with high-k dielectric and
metal gates for the n-channel MOSFET [31]. For the The gate transconductance (Gm) hereafter referred to as
p-channel device a FinFET architecture is implemented transconductance of a transistor is the current drive of the
together with hydrogen annealing to obtain a truncated transistor, which is a measure of the effective control of the
cylinder-shaped nanowire body [23]. A combination of ultra- drain current by the gate voltage. It is usually measured at the
thin body (2.5 nm), nanowire channel together with high-k maximum operational drain voltage (VD) of the node and gate
dielectric/metal gates and non-planar gate architecture overdrive VGs−VTH=0.2 V [35]. At this overdrive, the
resulted in the lowest values of swing and good transistor transistor is in strong inversion with Gm/ID around 10 V−1
performances, the best reported so far, to the authors’ and as a result a good compromise between speed and power
knowledge. consumption can be obtained around this bias point.
It is well acknowledged that the main advantage of the A simple expression to measure Gm in saturation region,
fully depleted SOI (FD-SOI) MOSFET when compared with is given by
other technologies is the steep sub-threshold swing. However,
Gm = WmC OX (VG - VTH ) Lg, (4 )
the value of the swing tends to increase with the reduction of
gate length, which can be controlled by key parameters such where W is the width of the transistor, μ is the mobility of the
as thickness of the active SOI layer [32], reducing the charge carriers, Cox is the oxide capacitance, and VG and VTH
thickness of the buried-oxide [33] and by fabricating a buried are the gate and the threshold voltages, respectively.
layer [34]. Most of the above-mentioned technology The maximum transconductance (Gm_max) can be trans-
enhancement techniques have been implemented in the lated into how fast the transistor operates and how much gain
devices highlighted in figure 4. These techniques are it can provide.
5
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
Figure 5 shows the variation of the transconductance as a a lot of literature data on VEA for the analog performance of
function of gate length for n- and p-channel devices, MOSFETs.
respectively. The highest value of transconductance for Very high values of VEA have been reported for devices
n-channel MOSFET with gate length of 29 nm is implementing FinFET architecture [39], which is attributed to
1840 mS mm−1 and for a p-channel MOSFET with gate improved control of the gate and a strong indication of
length of 31 nm is 1600 mS mm−1, respectively [36]. Both the volume inversion condition in narrow fins [40].
devices are fabricated on 45 nm SOI CMOS technology fea- It can be seen from figure 6(b) that an increase in VEA is
turing 1.16 nm gate oxide together with the integration of observed in devices that are fabricated with no-HALO
multiple advanced strain and activation techniques including implantation [40–42]. The pocket implant results in a barrier
enhanced dual-stress liner (DSL), advanced epitaxial silicon- at both ends of the channel and these barriers inhibit current
germanium, stress memorization and advanced metal flow. Increase of the drain voltage results in reducing the
annealing. Further details on the fabrication can be found barrier at the drain end of the transistor and an increase in the
in [36]. drain-source current. The VEA is limited, not by the channel
The inset in figure 5 shows a trend line drawn high- length modulation as in the case of traditional MOSFETs, but
lighting the dependence of gate transconductance on the gate by the modulation of the barrier near the drain [43]. The
length. From equation (4), the gate transconductance is benefits of implementing FinFET architecture also include
inversely proportional to the gate length, but in reality, slight improved gate control and the manifestation of volume
degradation is observed. The gate transconductance is inver- inversion for narrow FinFETs [40]. Here, it has to be pointed
sely proportional to (gate length)0.8, i.e. Gm α 1 /Lg 0.8. This out that FinFET architecture has not only shown improved
degradation is attributed to the scattering in the data points of analog but improved digital performance. The lowest value of
not optimized devices and/or processes, impact of source/ drain-induced barrier lowering and sub-threshold swing
drain resistances and velocity saturation. reported in the above section is obtained for FinFET
Much of the improvement in transconductance is architecture.
obtained by scaling the gate length and the gate-oxide
thickness, although there are other problems encountered. In
4.3. Low-frequency noise
the case of devices with smaller gate lengths, SCE deteriorate
the transconductance and the use of an ultra-thin gate-oxide Electrical noise can be defined as a randomly time-fluctuating
results in a tunneling problem and as a consequence larger electrical signal. The origin of noise can be categorized as (i)
gate-current leakage. external noise from adjacent circuits, AC power lines, radio
transmitters or (ii) internal random fluctuations governing
4.2. Early voltage electron transport [44]. Being random in nature, noise cannot
be completely eliminated and limits the accuracy of mea-
The Early voltage (VEA), named after James Early (who
surements and sets a lower limit on how small a signal can be
pioneered the bipolar transistor), was initially used to model
detected and processed [12, 45]. Although external noise can
the small-signal emitter-collector resistance in bipolar tran-
often be eliminated by appropriate shielding, filtering and
sistors [37]. In MOSFETs, it is the voltage at which the
choosing optimized layout designs, true noise can only be
tangent to the ID-VD characteristics in saturation crosses the
reduced by the proper design of devices and circuits [44]. A
horizontal axis [37, 38].
schematic diagram of the power spectral density (PSD) for the
There are two methods used to calculate the VEA; (i)
excess noise at low frequency is shown in figure 7.
extrapolate the drain current until it intersects the VD, or (ii)
Extremely scaled CMOS devices suffer from time-
dividing the ID by the output conductance (Gd) at a specific
dependent variability that exists due to the presence of a few
VG or VD, as shown in equation (5) [37].
stochastically behaving defects or traps in the gate
ID dVD oxide [47, 48].
VEA » » . ID (5 ) The noise associated with the capture (or emission) of
Gd dID
charge carriers from (or into) the channel by defects is mainly
The latter is the most preferred method to extract VEA since it dominated by the drain current and is called random
relates to the output conductance and highlights its depend- telegraph noise (RTN). The total drain current of a MOSFET
ence on the gate length. is the sum of both the deterministic DC component and the
Typical values of VEA in MOSFET’s range from randomly time-fluctuating quantity, both of which depend on
10–200 V depending on the gate length of the device. Since the applied bias. In mathematical terms, this can be expressed
MOSFETs with larger gate lengths are less affected by gate as
length modulation, VEA values are larger.
Figure 6(a) shows the variation of VEA as a function of IDS (VGS, VDS, t ) = ID,ref (VGS, VDS) + D IDS (VGS, VDS, t ) ,
gate length for single-gate (filled symbols) and multi-gate (6 )
technologies (unfilled symbols), respectively. Figure 6(b)
shows the VEA variation for MOSFETs with halo implantation where D IDS (t ) is the randomly fluctuating drain-source cur-
(filled symbols) and without halo implantation (unfilled rent, VGS and VDS are the gate-source and drain-source vol-
symbols), respectively. It must be noted here that there is not tages, respectively. The fluctuating current depends on carrier
6
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
Figure 6. Early voltage variation with gate length for different technologies. (a) Early voltage for single-gate (black square symbols) and
multi-gate transistors (green diamond symbols), respectively. (b) Filled symbols represent Early voltage values where ‘HALO’ implantation
was used during the fabrication and unfilled symbols represent values where ‘no-HALO’ implantation was implemented. Black squares are
for bulk, red diamonds for partially depleted and green triangles are for fully depleted technologies, respectively.
7
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
Figure 8. Small-signal equivalent FET circuit comprising an intrinsic transistor with the gate-source capacitance Cgs, the charging resistance
Ri,, the gate-drain capacitance Cgd, the transconductance Gm, and the drain conductance Gds, as well as of the extrinsic parasitic gate, source,
and drain resistances, RG, RS, and RD. Adapted from [63].
investigate the quality of novel gate-channel interface when resistances, Gds and Gm are the drain-source conductance and
high-k dielectrics and metal gates for MOSFETs were intro- gate transconductance, respectively.
duced more than a decade ago [54, 55] and more recently By substituting the capacitances with the physical
with the eventual replacement of the silicon channel by III-V dimensions of the device, it is observed that Ft is independent
materials [56, 57]. Today, with the device channel length of of the channel width, but inversely proportional to the square
20 nm and below, the channel volume and gate interface are of the gate length.
extremely small and thus low-frequency noise is really Figure 9 shows the dependence of the cut-off frequency
dominated by single trapping events [58], making RTN on the gate length, for n- and p-channel devices, respectively.
measurements of advanced MOSFETs a very interesting topic The highest cut-off frequency value for the n-channel device
for research [59–61]. is 485, and 345 GHz for the p-channel device, with both
devices presenting a gate length of approximately 30 nm [36].
Both devices were fabricated based on 45 nm SOI CMOS
5. RF FOM technology featuring gate oxide of 1.16 nm, DSLs, advanced
activation annealing and stress memorization techniques, as
The high-frequency performance of a transistor is mainly reported in [64].
described by its capability to amplify AC input signals up to a The maximum oscillation frequency is the frequency at
certain frequency and to provide that power gain with a which the power gain is equal to unity. It can be expressed as
minimum of additional noise. Hereafter, the cut-off fre- the relation between maximum available gain (MAG) and the
quencies of the most advanced MOSFETS as well as their RF S-parameter, from [62, 65, 66]
noise performance are presented.
∣ S21∣
MAG = (k R - kR2 - 1 ) , (10)
5.1. Current gain cut-off frequency (Ft) and maximum ∣ S22 ∣
oscillation (Fmax) frequency
where kR is the Rollet stability factor which is expressed as
The cut-off frequency (Ft) is the frequency at which the small- 1- ∣ S11 ∣2 - ∣ S22 ∣2 + ∣ S12 S21 - S11 S22 ∣2
signal current gain of the transistor becomes unity. The cut- kR = 2 ∣ S12 S21 ∣
, (11)
off frequency is extracted from the x-axis interception of an
extrapolated −20 dB dec–1 line on the current gain |H21| and is only valid when the device is unconditionally stable i.e.
versus frequency curve [62]. kR > 1 for all possible source and drain impedances [67].
By two-port analysis, from the equivalent circuit shown Another approach to obtain Fmax is from the maximum
in figure 8 [63], an approximate expression for Ft can be unilateral gain (ULG ) by Mason [68, 69], which is the gain
expressed as when the lossless feedback network cancels the reverse
Gm 1
transmission of power from the output to the input, i.e.
Ft = 2p (Cgs + Cgd )
´ Cgd Gm (RS + R D ) (9 ) ∣ S12 ∣ = 0.
1 + Gds (R S + RD) +
Cgs + Cgd
1 1
ULG = 1- ∣ S11 ∣2
∣ S21∣2 1- ∣ S ∣2
. (12)
where Cgs and Cgd are the gate-source and gate-drain capa- 22
citances, RS and RD are the extrinsic parasitic source and drain Based on small-signal equivalent circuit, as shown in figure 8,
8
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
Figure 9. Current gain cut-off frequency versus gate length for (a) n-channel and (b) p-channel MOSFET, respectively. Black square symbols
are values for planar devices on bulk or SOI substrates, green diamond symbols are values for non-planar devices on bulk or SOI substrates,
blue triangle symbols are devices based on high-k dielectric and metal gates, and red circles represent values of devices fabricated using
strain/stressor technologies, respectively.
Figure 10. Maximum oscillation frequency variation with gate length for (a) n-channel and (b) p-channel MOSFET, respectively. Black
square symbols are values for planar devices on bulk or SOI substrates, green diamond symbols are values for non-planar devices on bulk or
SOI substrates, and red circles represent values of devices fabricated using strain/stressor technology, respectively.
Fmax can also be expressed as An Fmax value of 295 GHz has been reported for the
Gm 1 p-channel device with a gate length of 29 nm. The device
Fmax = 4pCgs
´ (13)
Gds (Ri + R S + R G ) + Gm R G
Cgd reported here was fabricated based on a 65 nm CMOS tech-
Cgs
nology platform employing uniaxial strain induced by epi-
where RG is the extrinsic parasitic gate resistance and Ri is the taxial silicon-germanium source/drain for enhanced
charging resistance, respectively. mobility [70].
Figure 10 shows the variation of the maximum oscilla- It is interesting to see from figure 9(a) that the best
tion frequency with the gate length, for n- and p-channel multiple-gate device (FinFET) [71] presents an Ft (91 GHz),
devices, respectively. The highest Fmax value of 450 GHz is which is more than three times lower than the best planar
obtained for an n-channel device with gate length 40 nm transistor (349 GHz). The low performance of FinFETs
featuring a gate oxide of 1.06 nm, together with DSLs, with compared with planar MOSFETs is associated mainly to the
the use of nickel-silicide as the gate metal to reduce gate larger parasitic capacitances [72]. The origin of the additional
resistance, as reported in [36]. parasitic capacitance in the case of FinFET (and multiple-gate
9
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
10
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
The plot shows the variation of the NFmin for single-gate and results in improved RF performances. The above-discussed
FinFET devices. Large values of NFmin are an indication of FoM are reported for devices implementing a combination of
degraded device performance, which is mainly due to the various different technologies such as the use of SOI sub-
increase in the RS x Gm value from equation (16). The large strate, non-planar or multiple-gate architecture, high-k di-
variation in the values are attributed to the difficulty in de- electric and metal gates and stress-inducing methods. With
embedding S-parameter data at relevant application fre- the advancement in semiconductor technology, each of these
quencies, device to tester impedance mismatch at low fre- methods is optimized to further enhance MOSFET
quency and the effects of layout and bias on noise [85]. performance.
Since the minimum noise figure increases at lower gate
voltages, any improvements with scaling may be offset as 6.1. Transition from single- to multiple-gate architecture
bias currents are reduced [86]. RF noise increases with
downscaling due to increase in gate leakage currents (due to 6.1.1. Bulk planar to bulk FinFET. Since their conception,
the use of thinner gate oxides) [87], increased substrate bulk MOSFETs have been the driving force for the
resistance [88] and higher velocity saturation due to higher- semiconductor industry. However, in the last few
channel electric fields [89]. The NFmin values given in table 1 technological nodes SCE have plagued their performance.
as a guideline by the ITRS are always lower than 0.2 dB. It Fortunately, devices implementing multiple-gate field-effect
has been proposed in [90] that devices with higher values of transistor (MuGFET) architecture, as shown in figure 12, have
Fmax have lower values of NFmin. An increase of Fmax values come to the rescue of their single-gate predecessors. The most
by 10% reduces the NFmin value by almost 80% [90]. promising architecture is the FinFET device, a schematic of
The authors would like to point out that there are not which shown in figure 12. A FinFET device consists of a tall
many references for Early voltage and the minimum noise channel surrounded by gate material on its three sides. The
figure, and hence there is an urgent need for the scientific first report of FinFET architecture dates back to 1999 [91] by
community to systematically extract these FoM in order to Hisamoto et al. Although the first reports demonstrating
deeply analyze their trend with MOSFET downscaling. FinFET architecture were based on the use of SOI substrates,
Intel the leading manufacturer of semiconductor chips has
demonstrated the latest Broadwell micro-architecture also
6. Performance boosters implementing second generation FinFET architecture built on
bulk silicon technology [92]. Key advantages of the FinFET
As device scaling is approaching physical limits, the tech- architecture include excellent compatibility with planar
nology cycle is slowing down due to various technical chal- CMOS process fabrication together with better drive current
lenges including increasing power consumption, process at fixed drain-source bias, immunity to SCE and higher on-
variation and costs. This relentless downscaling has led to the current to off-current ratio [93, 94].
evolution (or should be called a revolution) from planar sin- In contrast to bulk FinFET, when fabricated on SOI
gle-gate transistors fabricated on bulk silicon to multi-gate, substrates, further enhancement in device and circuit-level
multi-channel transistors fabricated on SOI technology. The performance [95] for digital and analog applications [40, 96]
following paragraphs address some of the performance has been observed. IBM has demonstrated the shrinkage of
boosters implemented by both academic research and industry SOI-based FinFETs down to a fin width of 4 nm [97] for the
to keep silicon devices at the forefront of semiconductor 10 nm node.
technology. However, the main disadvantages of the FinFET
As can be seen from the above sections (3–5), most of the architecture include different crystal orientation of the fins
performance boosters developed to improve the digital per- (causing mobility variation) and interface quality [98]. Apart
formance of MOSFETs are contributing to the enhancement from these, FinFET architecture also suffers from process
of the analog/RF performances as well. Indeed, to get a well- variations including gate-oxide non-uniformity on sidewalls,
behaved RF transistor, the very first requirement is to obtain a self-heating [99–102] and more importantly limited RF
good static characteristic of the device. This can be under- performance. Indeed, the current gain cut-off frequency and
stood from the fact that higher gate transconductance is maximum oscillation frequency of FinFET devices are lower
obtained on devices with better gate control on the channel compared with bulk devices and the main reason is attributed
carriers, higher charge carrier mobility and with lower contact to the large fringing gate capacitance which originates from
resistance (source-drain resistance). In a similar trend, higher the 3D architecture and multiple-fin configuration [73, 74].
cut-off and maximum oscillation frequency could be achieved
with larger values of gate transconductance and lower values 6.1.2. Bulk to SOI substrates. The introduction of SOI
of output conductance. Better SCE immunity can only be substrates in both academia and industry has overcome
achieved when the values of sub-threshold swing and drain- several problems related to bulk silicon technology. Some of
induced barrier lowering are lower, which translates to better these problems include reduced off-state current, lower
gate control of the channel. It can be concluded from the source/drain junction capacitances, improved switching
above two statements that devices with poor static/DC per- speed and reduced power consumption. In addition to the
formance cannot show enhanced RF/analog performances. above-mentioned factors, isolation from silicon handle
Hence, focussing on improving the static behavior often substrate provides latch-up free and inter-device leakage
11
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
Figure 12. Schematic of various multiple-gate architectures on SOI and bulk substrate.
free operation. There are two types of SOI transistors, (i) The excellent compatibility with the planar CMOS
when the top-silicon film is thicker than the depletion region process together with better drive current at fixed drain-
depth beneath the gate oxide, then it is termed as partially source bias, immunity to SCE, and higher on-current to off-
depleted (PD-SOI), and (ii) when the top-silicon film is current ratio has placed the FinFET architecture devices in a
thinner than the depletion region or if the doping better position than their double-gate counterparts. Other
concentration of the body is low enough to be fully multiple-gate architectures including triple gate, omega gate,
depleted of charge carriers it is termed FD-SOI [16]. pi-gate, gate-all-around or quadruple gate, have also been
Implementation of FD-SOI transistors results in extremely introduced and widely studied. Much detailed description of
low sub-threshold swing (<65 mV dec–1), does not have the different architectures is provided in [107]. Most of the
floating-body effects and low threshold voltage variation with investigations performed on FinFET architecture have
temperature and hence are superior in terms of performance focussed on technology and perspectives for digital applica-
over the partially depleted counterparts. The main drawback tions [108, 109]. As can be seen from the drain-induced
of the FD-SOI technology is the process variation, which is barrier lowering and sub-threshold swing section of this
mainly due to the variation in the thickness of the silicon film, article, the best values of these FoM are indeed obtained with
resulting in threshold voltage fluctuation. Fully-depleted FinFET architecture.
ultra-thin body SOI devices are considered as the best Despite these incentives, SOI technology suffers from
candidates for downscaling. Devices fabricated on undoped one major drawback, the self-heating effect, which degrades
or lightly doped ultra-thin body minimize impurity scattering charge carrier mobility [110] and causes a shift in threshold
and reduce threshold voltage variation, which arises due to voltages, which worsen in the case of fully depleted structures
random doping fluctuation [16]. In addition to the above due to thin silicon film [111]. However, it is worth noting that
advantages, SOI substrates allow the fabrication of devices for the most advanced CMOS nodes, thanks to the high
with novel architectures such as MuGFETs, which have more number of metal levels in the back-end-of-line (BEOL) that
than one gate (double, trigate, FinFET, gate-all-around), as could be as large as 10–15 metal layers, an efficient thermal
shown in figure 12. Such devices can be realized with path can be engineered via the BEOL and thus drastically
minimum modification in processing technology. The first minimize the importance of thermal path relative to the Si or
theoretical proposal of a double-gate architecture was by SOI substrate [112].
Sekigawa and Hayashi in 1984, where the architecture of the
proposed device resembles the Greek alphabet xi - Î; the
6.2. Strain engineering
silicon channel was sandwiched between a top and bottom
gate [103]. The first fabricated double-gate SOI MOSFET The use of strain engineering to enhance carrier mobility in
called the ‘fully DEpleted Lean-channel TrAnsistor’ or doped Si/SixGe1−x superlattices was reported as early as
DELTA resembled more a FinFET-like structure where the 1985 [113]. This widely adopted method to induce wafer-
silicon film stands vertically between the gates, two side gates based biaxial stress is practiced by growing a silicon film atop
and one top gate, as can be seen in figure 2 in [104]. relaxed silicon-germanium (SiGe) virtual substrate. A tensile
The first multiple-gate architecture device fabricated was biaxial stress is generated in the Si film, due to the lattice
the planar double gate and the concept was proposed by mismatch between Si and Ge atoms [114]. Uniaxial process-
Colinge et al, in the year 1990 [105]. Since the transverse induced stress application methods include strained capping
electric filed induced by the drain-source bias is shared by layers, strained contact-etch-stop-liners [115], embedded
both the top and bottom channel, the double-gate architecture Si1−xGex source/drain [116], DLS [117, 118] and since then
mitigates short-channel effects [106]. However, the main have been an indispensable part of state-of-the-art CMOS
drawback of the planar double-gate architecture is the technology [119, 120].
complexity to fabricate the aligned bottom-gate/channel/ Experimental studies have shown that applying
top-gate stack in a planar silicon technology. mechanical tensile strain on n-channel MOSFETs causes
12
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
mobility enhancement [121, 122], which is attributed to the transport, they revealed the key factors that affect the hole
sub-band energy splitting between the twofold and fourfold mobility in semiconductors—band splitting and warping,
valleys. The conduction band in bulk silicon is composed of mass change, and consequently the change of density of
six equivalent valleys. In inversion layers, these six valleys states, which alters band occupation and phonon scattering
are split into twofold valleys located at a central position in [127]. There have been a number of reports on the effects of
the 2D k-space and the fourfold valleys located on kx and ky strain on transport in silicon and the readers are directed to
axes, which is due to 2D quantization. 3D electrons have an [129] and the references therein. Although the main focus of
anisotropy in the effective mass, which is composed of light the industry in the late 1980s and early 1990s was on biaxial-
transversal and heavy longitudinal effective mass. This dif- induced stress in devices, the current focus has now shifted to
ference in the effective mass leads to differences in physical uniaxial stress owing to the main advantages it offers. The
properties between the twofold and fourfold valleys [123]. two main benefits of uniaxial stress techniques are, (i)
When tensile strain parallel to the MOS interface or com- mobility enhancement at both low strain and high vertical
pressive strain perpendicular to the MOS interface is applied electric field, which is attributed to the warping of the valence
to MOSFETs, the conduction band edge in the fourfold val- band under strain [130] and (ii) this enhancement in mobility
leys becomes higher than that in the twofold valleys and this provides larger drive current and gate transconductance
splitting energy is added to the sub-band energy difference improvement for nanoscale short-channel devices leading to
caused by the surface quantization. As a result, the sub-band higher cut-off frequencies. As shown in figures 9 and 10, the
energy between the two valleys significantly increases. This best cut-off and maximum oscillation frequency values have
increase in the sub-band energy splitting results in mobility been obtained for strained SOI MOSFETs, reiterating the fact
enhancement through two mechanisms: (i) increase in aver- that strain engineering results in enhanced device perfor-
aged mobility due to the increase in occupancy of electrons in mance, making it an indispensable inclusion in future CMOS
the twofold valleys having higher mobility, and (ii) the sup- devices.
pression of inter-valley scattering between the twofold and
fourfold valleys [123, 124]. This is because, when the split-
6.3. Ultra-thin-body and ultra-thin-buried-oxide
ting energy between the twofold and the fourfold valleys is
higher than the phonon energies associated with inter-valley The main limitations of planar bulk CMOS technology are
scattering, the transition of electrons in the twofold valleys increasing performance variability and off-state leakage cur-
through a phonon absorption process cannot occur, resulting rent. Rather than having an extremely high doping con-
in the reduction in the scattering probability. On the other centration in the channel, reducing the thickness can eliminate
hand, when compressive strain parallel to MOS interfaces or sub-surface leakage paths and reduce the drain-induced bar-
tensile strain perpendicular to MOS interfaces is applied to rier lowering [131]. In the case of an extremely thin body, the
MOSFETs, the electron mobility tends to decrease. This is channel is fully depleted of charge carriers when the transistor
attributable to the increase in the occupancy of the electrons is in the off-state. Such an ultra-thin-body (UTB) fully
in the fourfold valleys having lower mobility [123]. depleted MOSFET structure has already proved its benefits by
On the other hand, in the case of p-channel MOSFETs reduced SCE rather than halo-doped PD-SOI devices down to
strain-enhanced hole mobility presents a more complex pic- a gate length of 30 nm [132, 133]. When an extremely thin
ture. The valence-band structure of silicon comprises three body is implemented on a thin buried-oxide (25 nm or thin-
bands; the heavy-hole, light-hole, and spin–orbit split-off ner), it is termed as ultra-thin-body and ultra-thin-buried-
bands. The heavy-hole and the light-hole bands are degen- oxide (UTBB) architecture.
erated at k=0 and the coupling between them is responsible The use of ultra-thin-buried-oxide (BOX) suppresses
for the warping of the energy surfaces [125]. On the other fringing fields through the BOX, thereby improving SCE,
hand, the spin–orbit split-off band is shifted downward by reducing DIBL and sub-threshold swing [134–136]. The thin
0.044 eV and consequently is widely depopulated compared buried-oxide is also suitable for implementing back-gate
with those of heavy and light holes [126]. Due to this strong biasing for threshold voltage tuning, latch-up free operation,
band warping, strain effects on the valence-band structure reduced device variability and ease of process transfer from
cannot be explained by band shifts using deformation bulk technology [137]. However, the use of thin BOX triggers
potentials [127]. Hasegawa [128] and Hensel and Feher [125] strong coupling from the drain through the substrate resulting
studied the valence-band effective mass and deformation in loss of gate control over the channel (especially when the
potentials in strained silicon and compared them with substrate is depleted) [138], but this problem can be cir-
experiments. Hasegawa reported that under compressive cumvented with the incorporation of a highly doped layer
stress, the light-hole mass becomes larger and it varies underneath the BOX to produce a so-called ground plane
inversely with applied stress due to the mixing with the split- [138], back plane [139] or mirror doping [140]. Simulation
off hole band, and the heavy-hole mass does not change to the studies have also shown that introducing a ground plane
first order with strain. Hensel and Feher showed that under reduces DIBL due to depletion layer suppression under the
compressive stress, the light-hole band shifts up (becomes the BOX [141]. A highly doped layer implanted underneath
top valence band) and the heavy-hole band shifts down in (ground plane) the BOX screens the electric field of lines
energy. Although they are only concentrated on the zone penetrating the substrate. This ground plane also paves the
center (k=0) and did not discuss the strain effects on carrier way for fine tuning the threshold voltage for a digital circuit, a
13
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
Figure 13. Maximum oscillation frequency variation with gate length for (a) n-channel and (b) p-channel MOSFET, respectively. Black
square symbols are values for planar devices on bulk or SOI substrates, green diamond symbols are values for non-planar devices on bulk or
SOI substrates, and red circles represent values of devices fabricated using strain/stressor technologies, respectively.
higher threshold voltage when the transistor is put in the OFF the fact that an immediate successor to silicon could be a
state (Vgs < VTH ) and the possibility to lower the threshold device configuration such as high-electron mobility transistors
voltage when the transistor is in the ON state (Vgs > VTH ). By or heterojunction bipolar transistors, based on III-V channel
combining a thin BOX/ground plane with variable ground- materials. Owing to the superior properties of III-V materials,
plane doping, a threshold voltage shift can be obtained, which it is not a surprise to observe such high operating frequency
leads to optimization of the devices for both low-power and values in these devices.
high-performance applications [138]. In [142, 143], the high- Devices based on graphene and other 2D materials are
frequency behavior of 28 nm UTBB from ST-M is presented. plagued with problems, some of which include the synthesis
Without any process or architecture optimization for RF, and transfer of large-area material. In addition to this, residual
those transistors have an Ft and Fmax of 280 and 250 GHz, contamination has also largely plagued device performance
respectively. The back-gate contact can also be used to boost and industrial application.
the RF performance of UTBB [144] and to improve the lin- Another weak point of graphene MOSFETs (for RF
earity of the transistor [145]. application) reported so far is the unsatisfactory saturation
behavior (only weak saturation or the second linear regime),
6.4. III-V and 2D materials which has an adverse impact on the cut-off frequency, the
The gradual deceleration in silicon downscaling has driven intrinsic gain and other FoM for RF devices [149].
research activities in the field of alternative channel materials Although devices based on TMD materials can address
that exhibit enhanced performance. Devices based on III-V some of the fundamental issues with graphene-based devices,
materials including BJTs and HEMT have shown superior RF literature reports on TMD material-based devices have much
characteristics, particularly their high speed, low DC power inferior performance, indicating that more effort needs to be
consumption and excellent noise characteristics [146]. Recent put in this direction. Readers are referred to the review paper
reports have confirmed that InGaAs devices have electron from F. Schwierz [149] for further reading on this topic.
mobility in excess of 10 000 cm2 V–1s–1, operating frequency From the above discussions, the two main contenders to
greater than 700 GHz, sub-threshold swing of 70 mV dec–1 help continue Moore’s law (based on silicon technology) in
and gate transconductance greater than 3000 mS mm−1 [147], the near future are, FinFET architecture and UTBB. Despite
thereby realizing n-channel devices. On the other hand, the disadvantages (and advantages), these two technological
compressively strained InGaSb and Ge, are promising mate- blocks possess, they have established their place in main-
rials for p-channel devices [148]. stream industrial processes for different applications. How-
In the search for new channel materials, there has been a ever, the choice between bulk FinFET and SOI UTBB will
recent escalation in research activities related to the applica- depend on the application. It needs to be emphasized here that
tion of 2D materials. Graphene, the first 2D material, has ST-M 28 nm bulk is slightly faster and cheaper than 28 nm
paved the way for the scientific community to explore similar FD-SOI, but the latter consumes less power. FD-SOI will be
materials called transition metal dichalcogenides (TMDs). competitive for the 22 and 14 nm nodes. In fact, for these
As can be observed from figures 13(a) and (b), the nodes the single-gate bulk MOSFET will not only face
highest value of Ft and Fmax for III-V-based MOSFETs is far challenges in terms of predominant SCE but the increase of
higher than state-of-the-art silicon MOSFETs, pointing out to cost and complexity involved in the fabrication process will
14
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
15
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
16
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
[44] ‘Low-Frequency Noise in Advanced MOS Devices | Martin [64] Narasimha S et al 2006 High performance 45 nm SOI
von Haartman | Springer.’ [Online]. Available: (http:// technology with enhanced strain, porous low-k BEOL, and
springer.com/de/book/9781402059094). [Accessed: 18- immersion lithography Electron Devices Meeting, 2006.
Jul-2017] IEDM ’06. Int. pp 1–4
[45] Dennard R H, Gaensslen F H, Yu H N, Rideout V L, [65] Dehan M 2003 Characterization and Modeling of SOI RF
Bassous E and LeBlanc A 1973 Ion implanted MOSFETs Integrated Components (Louvain-la-Neuve, Belgium:
with very short channel lengths 1973 Int. Electron Devices Université catholique de Louvain)
Meeting vol 19, pp 152–5 [66] Subramanian V 2008 Study of Analog and RF Performance of
[46] Boudier D et al 2017 Low frequency noise assessment in n- Multiple Gate Field Effect Transistors for Future CMOS
and p-channel sub-10 nm triple-gate FinFETs: part I: theory Technologies (Leuven: Katholieke universiteit Leuven)
and methodology Solid-State Electron. 128 102–8 [67] Deferm N and Reynaert P 2015 CMOS at millimeter wave
[47] Kaczer B et al 2016 The defect-centric perspective of device frequencies CMOS Front Ends for Millimeter Wave Wireless
and circuit reliability—from gate oxide defects to circuits Communication Systems (Cham: Springer) pp 13–31
Solid-State Electron. 125 52–62 [68] Mason S J 1954 Power gain in feedback amplifier
[48] Grasser T et al 2011 The paradigm shift in understanding the Transactions of the IRE Professional Group on Circuit
bias temperature instability: from reaction #x02013; Theory CT-1 20–5
diffusion to switching oxide traps IEEE Trans. Electron [69] Roblin P and Kang S C 1992 Unilateral power gain
Devices 58 3652–66 resonances and roll-off with frequency for the velocity-
[49] Johnson J B 1928 Thermal agitation of electricity in saturated MOSFET/MODFET wave equation IEEE Trans.
conductors Phys. Rev. 32 97–109 Electron Devices 39 1490–5
[50] Nyquist H 1928 Thermal agitation of electric charge in [70] Jan C H et al 2008 A 45nm low power system-on-chip
conductors Phys. Rev. 32 110–3 technology with dual gate (logic and I/O) high-k/metal gate
[51] Schottky W 1918 Über spontane Stromschwankungen in strained silicon transistors Electron Devices Meeting, 2008
verschiedenen Elektrizitätsleitern Ann. Phys. 362 541–67 (IEDM 2008 IEEE International) 1–4
[52] Cho H-J, Lee S, Park B-G and Shin H 2010 Extraction of trap [71] Yang M T et al 2011 RF and mixed-signal performances of a
energy and location from random telegraph noise in gate low cost 28 nm low-power CMOS technology for wireless
leakage current (Ig RTN) of metal–oxide semiconductor system-on-chip applications 2011 Symp. on VLSI
field effect transistor (MOSFET) Solid-State Electron. 54 Technology (VLSIT) pp 40–1
362–7 [72] Raskin J-P 2016 FinFET and UTBB for RF SOI
[53] Theodorou C G, Ioannidis E G, Haendler S, Josse E, communication systems Solid-State Electron. 125 73–81
Dimitriadis C A and Ghibaudo G 2016 Low frequency noise [73] Tinoco J C, Salas Rodriguez S, Martinez-Lopez A G,
variability in ultra scaled FD-SOI n-MOSFETs: dependence Alvarado J and Raskin J 2013 Impact of extrinsic
on gate bias, frequency and temperature Solid-State capacitances on FinFET RF performance IEEE Trans.
Electron. 117 88–93 Microw. Theory Tech. 61 833–40
[54] Gottlob H D B et al 2006 0.86 nm CET gate stacks with [74] Rodriguez S S, Tinoco J C, Martinez-Lopez A G,
Epitaxial$hboxGd_2hboxO_3$High-$k$Dielectrics and Alvarado J and Raskin J P 2013 Parasitic gate capacitance
FUSI NiSi metal electrodes IEEE Electron Device Lett. 27 model for triple-gate FinFETs IEEE Trans. Electron Devices
814–6 60 3710–7
[55] Engström O et al 2007 Navigation aids in the search for future [75] Boots H M J, Doornbos G and Heringa A 2004 Scaling of
high-k dielectrics: physical and electrical trends Solid-State characteristic frequencies in RF CMOS IEEE Trans.
Electron. 51 622–6 Electron Devices 51 2102–8
[56] Conrad N et al 2014 Low-frequency noise and RTN on near- [76] Tsividis Y 2004 Operation and modeling of the MOS
ballistic III #x02013;V GAA nanowire MOSFETs 2014 transistor (The Oxford Series in Electrical and Computer
IEEE Int. Electron Devices Meeting pp 1–20 Engineering) (Oxford: Oxford University Press) ISBN:
[57] Si M et al 2015 Low-frequency noise and random 0195170156
telegraph noise on near-ballistic III-V MOSFETs IEEE [77] Arshad M K M 2013 Characterization and modeling of ultra
Trans. Electron Devices 62 3508–15 thin body fully depleted SOI MOSFETs (Louvain-la-Neuve,
[58] Fan M-L, Yang S-Y, Hu V P-H, Chen Y-N, Su P and Belgium: Université catholique de Louvain)
Chuang C-T 2014 Single-trap-induced random [78] Skotnicki T et al 2008 Innovative materials, devices, and
telegraph noise for FinFET, Si/Ge nanowire FET, Tunnel CMOS technologies for low-power mobile multimedia IEEE
FET, SRAM and logic circuits Microelectron. Reliab. 54 Trans. Electron Devices 55 96–130
698–711 [79] Dambrine G et al 2003 What are the limiting parameters of
[59] Raghavan N et al 2013 Microscopic origin of random deep-submicron MOSFETs for high frequency applications?
telegraph noise fluctuations in aggressively scaled RRAM IEEE Electron Device Lett. 24 189–91
and its impact on read disturb variability 2013 IEEE Int. [80] Raskin J P et al 2008 High-frequency noise performance of
Reliability Physics Symp. (IRPS) pp 1–5E 60 nm gate-length FinFETs IEEE Trans. Electron Devices
[60] Li C K et al 2014 Study of random telegraph noise in 55 2718–27
UTBOX Silicon-on-Insulator nMOSFETs ECS Trans. 60 [81] Fukui H 1979 Design of microwave GaAs MESFET’s for
109–14 broad-band low-noise amplifiers IEEE Trans. Microw.
[61] Simoen E and Claeys C 2017 Random Telegraph Signals in Theory Tech. 27 643–50
Semiconductor Devices (Bristol: IOP Publishing) (https:// [82] Shimomura H, Matsuzawa A, Kimura H, Hayashi G,
doi.org/10.1088/978-0-7503-1272-1) Hirai T and Kanda A 1997 A mesh-arrayed MOSFET (MA-
[62] Raskin J-P 1997 Modeling characterization and optimization MOS) for high-frequency analog applications 1997 Symp.
of MOSFET’s and passive elements for the synthesis of SOI on VLSI Technology, 1997. Digest of Technical Papers
MMIC’s (Louvain-la-Neuve, Belgium: Université catholique pp 73–4
de Louvain) [83] Wu W, Lam S and Chan M 2005 Effects of layout methods of
[63] Raskin J-P 2014 Silicon-on-insulator MOSFETs models in RFCMOS on noise performance IEEE Trans. Electron
analog/RF domain Int. J. Numer. Model. 27 707–35 Devices 52 2753–9
17
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
[84] Nakamura A, Yoshikawa N, Miyazako T, Oishi T, [103] Sekigawa T and Hayashi Y 1984 Calculated threshold-
Ammo H and Takeshita K 2006 Layout optimization of RF voltage characteristics of an XMOS transistor having an
CMOS in the 90 nm generation by a physics-based model additional bottom gate Solid-State Electron. 27 827–8
including the multi-finger wiring effect 2006 IEEE Radio [104] Hisamoto D, Kaga T, Kawamoto Y and Takeda E 1989 A
Frequency Integrated Circuits (RFIC) Symp. p 4 pp. fully depleted lean-channel transistor (DELTA)—a novel
[85] Chen C-H, Deen M J, Cheng Y and Matloubian M 2001 vertical ultra thin SOI MOSFET Electron Devices Meeting,
Extraction of the induced gate noise, channel noise, and their 1989. IEDM ’89. Technical Digest., Int. pp 833–6
correlation in submicron MOSFETs from RF noise [105] Colinge J P, Gao M H, Romano-Rodriguez A, Maes H and
measurements IEEE Trans. Electron Devices 48 2884–92 Claeys C 1990 Silicon-on-insulator ‘gate-all-around device’
[86] Bennett H S et al 2005 Device and technology evolution for Electron Devices Meeting, 1990. IEDM ’90. Technical
Si-based RF integrated circuits IEEE Trans. Electron Digest., Int. pp 595–8
Devices 52 1235–58 [106] Chang L et al 2003 Extremely scaled silicon nano-CMOS
[87] To K-H, Park Y-B, Rainer T, Brown W and Huang M W devices Proc. IEEE 91 1860–73
2003 High frequency noise characteristics of RF MOSFETs [107] Colinge J-P 2004 Multiple-gate SOI MOSFETs Solid-State
in subthreshold region 2003 IEEE Radio Frequency Electron. 48 897–905
Integrated Circuits (RFIC) Symp. pp 163–6 [108] Kedzierski J et al 2001 High-performance symmetric-gate
[88] Kishore S V, Chang G, Asmanis G, Hull C and Stubbe F and CMOS-compatible V/subt/asymmetric-gate FinFET
1999 Substrate-induced high-frequency noise in deep sub- devices Electron Devices Meeting, 2001. IEDM ’01.
micron MOSFETs for RF applications Custom Integrated Technical Digest. Int. p 1–19
Circuits, 1999. Proc. of the IEEE 1999 pp 365–8 [109] Woo D-S et al 2002 Electrical characteristics of FinFET with
[89] Scholten A J et al 1999 Accurate thermal noise model for vertically nonuniform source/drain doping profile IEEE
deep-submicron CMOS Electron Devices Meeting, 1999. Trans. Nanotechnol. 1 233–7
IEDM ’99. Technical Digest. Int. pp 155–8 [110] Dallmann D A and Shenai K 1995 Scaling constraints
[90] Pailloncy G et al 2004 Impact of downscaling on high- imposed by self-heating in submicron SOI MOSFET’s IEEE
frequency noise performance of bulk and SOI MOSFETs Trans. Electron Devices 42 489–96
IEEE Trans. Electron Devices 51 1605–12 [111] Chen W-K (ed) 2016 The VLSI Handbook Second Edn (Boca
[91] Huang X et al 1999 Sub 50 nm FinFET: PMOS Electron Raton: CRC Press) (https://doi.org/10.1201/
Devices Meeting, 1999. IEDM ’99. Technical Digest. Int. 9781420005967)
pp 67–70 [112] Ahn W, Jiang H, Shin S and Alam M A 2016 A novel
[92] Natarajan S et al 2014 A 14 nm logic technology featuring synthesis of Rent’s rule and effective-media theory predicts
2nd-generation FinFET, air-gapped interconnects, self- FEOL and BEOL reliability of self-heated ICs 2016 IEEE
aligned double patterning and a 0.0588 #x000B5;m2 Int. Electron Devices Meeting (IEDM) p 1–7
SRAM cell size Electron Devices Meeting (IEDM), 2014 [113] Abstreiter G, Brugger H, Wolf T, Jorke H and Herzog H J
IEEE Int. pp 1–3 1985 Strain-induced two-dimensional electron gas in
[93] Cristoloveanu S 2001 Silicon on insulator technologies and selectively doped $/mathrm{Si}/{/mathrm{Si}}_{x}
devices: from present to future Solid-State Electron. 45 {/mathrm{Ge}}_{1−x}$ superlattices Phys. Rev. Lett. 54
1403–11 2441–4
[94] Park J-T and Colinge J 2002 Multiple-gate SOI MOSFETs: [114] Welser J, Hoyt J L and Gibbons J F 1992 NMOS and PMOS
device design guidelines IEEE Trans. Electron Devices 49 transistors fabricated in strained silicon/relaxed silicon-
2222–9 germanium structures Electron Devices Meeting, 1992.
[95] Subramanian V et al 2005 Device and circuit-level analog IEDM ’92. Technical Digest., Int. pp 1000–2
performance trade-offs: a comparative study of planar bulk [115] Ito S et al 2000 Mechanical stress effect of etch-stop nitride
FETs versus FinFETs Electron Devices Meeting, 2005. and its impact on deep submicron transistor design Electron
IEDM Technical Digest. IEEE Int. pp 898–901 Devices Meeting, 2000. IEDM ’00. Technical Digest. Int.
[96] Colinge J P 2008 FinFETs and Other Multi-Gate Transistors pp 247–50
(Boston: Springer) (https://doi.org/10.1007/978-0-387- [116] Ghani T et al 2003 A 90 nm high volume manufacturing logic
71752-4) technology featuring novel 45 nm gate length strained
[97] Chang J B et al 2011 Scaling of SOI FinFETs down to fin silicon CMOS transistors Electron Devices Meeting, 2003.
width of 4 nm for the 10 nm technology node 2011 Symp. on IEDM ’03 Technical Digest. IEEE Int. p 1–11
VLSI Technology (VLSIT) pp 12–3 [117] Yang H S et al 2004 Dual stress liner for high performance
[98] Choi J H, Murthy J and Roy K 2007 The effect of process sub-45 nm gate length SOI CMOS manufacturing Electron
variation on device temperature in finFET circuits IEEE/ Devices Meeting, 2004. IEDM Technical Digest. IEEE Int.
ACM Int. Conf. on Computer-Aided Design, 2007. ICCAD pp 1075–7
2007 pp 747–51 [118] Leobandung E et al 2005 High performance 65 nm SOI
[99] Kolluri S, Endo K, Suzuki E and Banerjee K 2007 Modeling technology with dual stress liner and low capacitance SRAM
and analysis of self-heating in FinFET devices for improved cell 2005 Symp. on VLSI Technology, 2005. Digest of
circuit and EOS/ESD performance Electron Devices Technical Papers pp 126–7
Meeting, 2007. IEDM 2007. IEEE Int. pp 177–80 [119] Thompson S E et al 2004 A logic nanotechnology featuring
[100] Makovejev S, Olsen S and Raskin J 2011 RF extraction of strained-silicon IEEE Electron Device Lett. 25 191–3
self-heating effects in FinFETs IEEE Trans. Electron [120] Ghani T et al 2003 A 90 nm high volume manufacturing logic
Devices 58 3335–41 technology featuring novel 45 nm gate length strained
[101] Liu S E et al 2014 Self-heating effect in FinFETs and its silicon CMOS transistors Electron Devices Meeting, IEDM
impact on devices reliability characterization Reliability ’03 Technical Digest (IEEE International) pp 11.6.1–11.6.3
Physics Symp., 2014. IEEE Int. p 1–4A [121] Dorda G 1971 Piezoresistance in quantized conduction bands
[102] González B, Roldán J B, Iñiguez B, Lázaro A and Cerdeira A in silicon inversion layers J. Appl. Phys. 42 2053–60
2015 DC self-heating effects modelling in SOI and bulk [122] Hamada A, Furusawa T, Saito N and Takeda E 1991 A new
FinFETs Microelectron. J. 46 320–6 aspect of mechanical stress effects in scaled MOS devices
IEEE Trans. Electron Devices 38 895–900
18
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
[123] Takagi S 2007 Strained-Si CMOS technology Advanced Gate [142] Makovejev S et al 2014 Wide frequency band assessment of
Stacks for High-Mobility Semiconductors ed D A Dimoulas 28 nm FDSOI technology platform for analogue and RF
et al (Berlin: Springer) pp 1–19 applications 2014 15th Int. Conf. on Ultimate Integration on
[124] Takagi S, Hoyt J L, Welser J J and Gibbons J F 1996 Silicon (ULIS) pp 53–6
Comparative study of phonon‐limited mobility of two‐ [143] Kazemi Esfeh B et al 2016 Assessment of 28 nm UTBB FD-
dimensional electrons in strained and unstrained Si metal– SOI technology platform for RF applications: figures of
oxide–semiconductor field‐effect transistors J. Appl. Phys. merit and effect of parasitic elements Solid-State Electron.
80 1567–77 117 130–7
[125] Hensel J C and Feher G 1963 Cyclotron resonance [144] Esfeh B K et al 2017 Back-gate bias effect on FDSOI
experiments in uniaxially stressed silicon: valence band MOSFET RF figures of merits and parasitic elements 2017
inverse mass parameters and deformation potentials Phys. Joint Int. EUROSOI Workshop and Int. Conf. on Ultimate
Rev. 129 1041–62 Integration on Silicon (EUROSOI-ULIS) pp 228–30
[126] ‘La physique des semiconducteurs. par KIREEV (P.).-: - [145] Kazemi Esfeh B et al 2017 Back-gate bias effect on 3-port
Librairie Ancienne Le Bouquiniste.’ [Online]. Available: UTBB-FDSOI non-linearity performance Presented at the
(http://abebooks.fr/servlet/BookDetailsPL? European Solid-State Device Conf.—ESSDERC 2017
bi=7540316619&searchurl=tn%3Dla%2520physique% (Leuven, Belgium)
2520des%2520semiconducteurs%26an%3Dkireev%2520p). [146] Deal W R 2014 InP HEMT for sub-millimeter wave space
[Accessed: 12-Mar-2016] applications: status and challenges 2014 39th Int. Conf. on
[127] Sun Y, Thompson S E and Nishida T 2007 Physics of strain Infrared, Millimeter, and Terahertz waves (IRMMW-THz)
effects in semiconductors and metal-oxide-semiconductor pp 1–3
field-effect transistors J. Appl. Phys. 101 104503 [147] Kim D H et al 2014 High-performance III #x02013;V
[128] Hasegawa H 1963 Theory of cyclotron resonance in strained devices for future logic applications 2014 IEEE Int. Electron
silicon crystals Phys. Rev. 129 1029–40 Devices Meeting pp 1–25
[129] Chu M, Sun Y, Aghoram U and Thompson S E 2009 Strain: a [148] del Alamo J A, Antoniadis D A, Lin J, Lu W, Vardi A and
solution for higher carrier mobility in nanoscale MOSFETs Zhao X 2015 III-V MOSFETs for future CMOS 2015 IEEE
Annu. Rev. Mater. Res. 39 203–29 Compound Semiconductor Integrated Circuit Symp.
[130] Thompson S, Sun G, Wu K, Lim J and Nishida T 2004 Key (CSICS) pp 1–4
differences for process-induced uniaxial vs. substrate- [149] Schwierz F 2010 Graphene transistors Nat. Nano 5 487–96
induced biaxial stressed Si and Ge channel MOSFETs [150] Chen J, Colinge J-P, Flandre D, Gillon R, Raskin J P and
Electron Devices Meeting, 2004. IEDM Technical Digest. Vanhoenacker D 1997 Comparison of TiSi2, CoSi2, and
IEEE Int. pp 221–4 NiSi for thin‐film silicon‐on‐insulator applications
[131] Majumdar A, Ren Z, Koester S J and Haensch W 2009 J. Electrochem. Soc. 144 2437–42
Undoped-body extremely thin SOI MOSFETs with back [151] Kranti A, Burignat S, Raskin J P and Armstrong G A 2009
gates IEEE Trans. Electron Devices 56 2270–6 Underlap channel UTBB MOSFETs for low #x02014;
[132] Cheng K et al 2011 ETSOI CMOS for system-on-chip power analog/RF applications 2009 10th Int. Conf. on
applications featuring 22 nm gate length, sub-100 nm gate Ultimate Integration of Silicon pp 173–6
pitch, and 0.08 #x000B5;m2 SRAM cell 2011 Symp. on [152] Kranti A, Rashmi, Burignat S, Raskin J P and Armstrong G A
VLSI Technology (VLSIT) pp 128–9 2010 Analog/RF performance of sub-100 nm SOI
[133] Andrieu F et al 2010 Low leakage and low variability Ultra- MOSFETs with non-classical gate-source/drain underlap
Thin Body and Buried Oxide (UT2B) SOI technology for channel design 2010 Topical Meeting on Silicon Monolithic
20 nm low power CMOS and beyond 2010 Symp. on VLSI Integrated Circuits in RF Systems (SiRF) pp 45–8
Technology (VLSIT) pp 57–8 [153] Khakifirooz A et al 2010 Challenges and opportunities of
[134] Burignat S et al 2009 Drain/substrate coupling impact on extremely thin SOI (ETSOI) CMOS technology for future
DIBL of Ultra Thin Body and BOX SOI MOSFETs with low power and general purpose system-on-chip applications
undoped channel Solid State Device Research Conf., 2009. System and Application Proc. of 2010 Int. Symp. on VLSI
ESSDERC ’09. Proc. of the European pp 141–4 Technology pp 110–1
[135] Burignat S et al 2010 Substrate impact on threshold voltage [154] Khakifirooz A et al 2012 Scalability of extremely thin SOI
and subthreshold slope of sub-32 nm ultra thin SOI (ETSOI) MOSFETs to sub-20 nm gate length IEEE Electron
MOSFETs with thin buried oxide and undoped channel Device Lett. 33 149–51
Solid-State Electron. 54 213–9 [155] Cheng K et al 2010 Extremely thin SOI (ETSOI) technology:
[136] Liu Q et al 2011 Impact of back bias on ultra-thin body and Past, present, and future 2010 IEEE Int. SOI Conf. (SOI)
BOX (UTBB) devices 2011 Symp. on VLSI Technology pp 1–4
(VLSIT) pp 160–1 [156] Ko C H et al 2008 A novel CVD-SiBCN Low-K spacer
[137] Hisamoto D et al 2000 FinFET-a self-aligned double-gate technology for high-speed applications 2008 Symp. on VLSI
MOSFET scalable to 20 nm IEEE Trans. Electron Devices Technology pp 108–9
47 2320–5 [157] Niebojewski H et al 2014 Extra-low parasitic gate-to-contacts
[138] Gallon C et al 2006 Ultra-thin fully depleted SOI devices with capacitance architecture for sub-14 nm transistor nodes
thin BOX, ground plane and strained liner booster 2006 Solid-State Electron. 97 45–51
IEEE Int. SOI Conf. pp 17–8 [158] Inoue N 2013 Challenges in low-k integration of advanced Cu
[139] Fenouillet-Beranger C et al 2011 Low power UTBOX and BEOL beyond 14 nm node 2013 IEEE Int. Electron Devices
back plane (BP) FDSOI technology for 32 nm node and Meeting pp 1–29
below 2011 IEEE Int. Conf. on IC Design Technology [159] Tagami M et al 2011 Improvement of RC performance for
(ICICDT) pp 1–4 advanced ULK/Cu interconnects with CVD hybrid
[140] Yan R et al Jun. 2010 LDD and back-gate engineering for dielectric/metal liner 2011 IEEE Int. Interconnect
fully depleted planar SOI transistors with thin buried oxide Technology Conf. pp 1–3
IEEE Trans. Electron Devices 57 1319–26 [160] Kincal S, Abraham M C and Schuegraf K 2014 Performance
[141] Arshad M K M et al 2012 Extended MASTAR modeling of evaluation of interconnect architecture options beyond the
DIBL in UTB and UTBB SOI MOSFETs IEEE Trans. 10 nm logic node IEEE Trans. Electron Devices 61 1914–9
Electron Devices 59 247–51
19
Semicond. Sci. Technol. 32 (2017) 123004 Topical Review
[161] Wu S Y et al 2014 An enhanced 16 nm CMOS technology increased substrate resistivity IEEE Electron Device Lett. 26
featuring 2nd generation FinFET transistors and advanced 805–7
Cu/low-k interconnect for low power and high performance [166] Ali K B, Neve C R, Gharsallah A and Raskin J P 2011
applications 2014 IEEE Int. Electron Devices Meeting Ultrawide frequency range crosstalk into standard and trap-
pp 1–3 rich high resistivity silicon substrates IEEE Trans. Electron
[162] Ceyhan A and Naeemi A 2013 Cu interconnect limitations Devices 58 4258–64
and opportunities for SWNT interconnects at the end of the [167] Ali K B, Neve C R, Gharsallah A and Raskin J P 2014 RF
roadmap IEEE Trans. Electron Devices 60 374–82 performance of SOI CMOS technology on commercial
[163] Abuelgasim A et al 2011 Reduced microwave attenuation in 200 mm enhanced signal integrity high resistivity SOI
coplanar waveguides using deep level impurity compensated substrate IEEE Trans. Electron Devices 61 722–8
Czochralski-silicon substrates Semicond. Sci. Technol. 26 [168] Shim Y, Raskin J P, Neve C R and Rais-Zadeh M 2013 RF
072001 MEMS passives on high-resistivity silicon substrates IEEE
[164] Raskin J P, Viviani A, Flandre D and Colinge J P 1997 Microw. Wirel. Compon. Lett. 23 632–4
Substrate crosstalk reduction using SOI technology IEEE [169] Neve C R and Raskin J P 2012 RF harmonic distortion of
Trans. Electron Devices 44 2252–61 CPW lines on HR-Si and trap-rich HR-Si substrates IEEE
[165] Lederer D and Raskin J P 2005 New substrate passivation Trans. Electron Devices 59 924–32
method dedicated to HR SOI wafer fabrication with
20